diff --git a/output/letter r-000025.safetensors b/output/letter r-000025.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..d8b65d2cb92782b7d70b69760804b00866606304 --- /dev/null +++ b/output/letter r-000025.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:0b66c2bc61aab98e09fc4cec33a33ddadee107f402f182650b874adfed1cfc04 +size 54349889 diff --git a/output/letter r-000050.safetensors b/output/letter r-000050.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..c8dc20b1f55a76deec91d1b6fc6656049142494f --- /dev/null +++ b/output/letter r-000050.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:bc9738a0fe8365136d7f50d12ab0040f54e77a63143a6f1669bfafa3c3d76bb2 +size 54349889 diff --git a/output/letter r-000075.safetensors b/output/letter r-000075.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..88172e844f388aa4b0594bb312c5d6ee536763d8 --- /dev/null +++ b/output/letter r-000075.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:29f5ef632d4051985592f4936da34d26d13f64f633c2f557b16cf77082d87283 +size 54349889 diff --git a/output/letter r-000100.safetensors b/output/letter r-000100.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..b7f0d53a465d95e00460d0cd426454e47abead60 --- /dev/null +++ b/output/letter r-000100.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:e63c19a73e9e38c861f9d6fed3e9e8a21725ee8572c965bbb9ae4d848e632bb8 +size 54349890 diff --git a/output/letter r-000125.safetensors b/output/letter r-000125.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..8603c17b353a8261cbbc481a6ec5c8674e7d2fe4 --- /dev/null +++ b/output/letter r-000125.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:592f8d85207947b90a25a95b13f8aaddaeb60c2a1183d17b60063426e6c078bc +size 54349890 diff --git a/output/letter r-000150.safetensors b/output/letter r-000150.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..cef9a4a893e65fe7c695dfec91622dc63daf586a --- /dev/null +++ b/output/letter r-000150.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:35e564f06c1bc15e1762b4fa1db235efdf8027513b26385038d1f938eeba1a12 +size 54349890 diff --git a/output/letter r-000175.safetensors b/output/letter r-000175.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..4cdc28483d9676fc0d704b81eb4a54b9446ef244 --- /dev/null +++ b/output/letter r-000175.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:2cddfae866d400755d5796ed981f38dbee9adfc78ff6e9e57f0cdc58ded447e6 +size 54349889 diff --git a/output/letter r-000200.safetensors b/output/letter r-000200.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..7455db1cde2c51588180f2f80a8835cf77b1ad0d --- /dev/null +++ b/output/letter r-000200.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:4163c761454d687e9d63d82d8c10b898c5e5a2a9a11e498f9a19f679de19e144 +size 54349890 diff --git a/output/letter r-000225.safetensors b/output/letter r-000225.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..b0f71ea45c67ae4c773f105dc55805cc3fab6845 --- /dev/null +++ b/output/letter r-000225.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:815bb677685b0ccd0840c17bf2184ecce6028885b69740ab5f6293fd2efc61a8 +size 54349890 diff --git a/output/letter r-000250.safetensors b/output/letter r-000250.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..e05353be8bc51fc9a48e30d6dd66155125c9e429 --- /dev/null +++ b/output/letter r-000250.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:6d6fcba581e9549f6c894a12684b6848a1ae80121b82cea83bcc9e40b168a034 +size 54349889 diff --git a/output/letter r-000275.safetensors b/output/letter r-000275.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..37dd6851b4183c16e3d397ff4d075a470a28253c --- /dev/null +++ b/output/letter r-000275.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:9b48e103f38b353ade6c9fbe80ab45a558b2dbb56e94701e081779168a0661e2 +size 54349890 diff --git a/output/letter r-000300.safetensors b/output/letter r-000300.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..d3cd7bfa8ccba67186d8d08af1b788c8657f486e --- /dev/null +++ b/output/letter r-000300.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:dd25e3ab76bb620fadce44965fb7e1371795e7a1202e4d9d91e3e6aa6f87dd2e +size 54349889 diff --git a/output/letter r-000325.safetensors b/output/letter r-000325.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..0316a9513a1d6a6abe1d5d46f2b7abc384ef3c1a --- /dev/null +++ b/output/letter r-000325.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:24c2e406f9e5329dfb9ecbab32ad5085518cb5745890ede329240147bc3ee382 +size 54349889 diff --git a/output/letter r-000350.safetensors b/output/letter r-000350.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..b67bceaee9f33760543ef6524103a92c78e36ff6 --- /dev/null +++ b/output/letter r-000350.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:b2a810bedca4ed6fbc167be918c29930f52f4f6f3dbd98a56b357fdc1fb3b698 +size 54349889 diff --git a/output/letter r-000375.safetensors b/output/letter r-000375.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..8a6e699127dc260a837d85597c8ccd5d14010059 --- /dev/null +++ b/output/letter r-000375.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:a66b779a80889a1d3e19f108203ae85da6cb33d3b61167512c01422163cd0242 +size 54349890 diff --git a/output/letter r-000400.safetensors b/output/letter r-000400.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..4e01f0dd77310110d831ecb84d1057d237e48ef4 --- /dev/null +++ b/output/letter r-000400.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:49719115f9a5ca1dc49eb9612828044f0b2cb9de003c8ac0fa68cf59c9d441ee +size 54349890 diff --git a/output/letter r-000425.safetensors b/output/letter r-000425.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..a31c456ba5bcc903b3eab2d0552dbdf68b0e827e --- /dev/null +++ b/output/letter r-000425.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:35897605a9da0757e6a11d020814ad08a85e3c6325ced0938488f27073b2727c +size 54349890 diff --git a/output/letter r-000450.safetensors b/output/letter r-000450.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..c11639a7866a94a4a62b43cfd5c326294c72c392 --- /dev/null +++ b/output/letter r-000450.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:ec738d6b546d42205313c294e8cf86238fda73ca3dd74ca8a7ec4a66e1290b17 +size 54349889 diff --git a/output/letter r-000475.safetensors b/output/letter r-000475.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..70f629bb6e1de70af261699ccc4d44bb9bd60fd3 --- /dev/null +++ b/output/letter r-000475.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:6eda058e8b6d7dd6b59ff8e17efc4f7c29ff83a1facafb92acd942c924b98a60 +size 54349890 diff --git a/output/letter r-000500.safetensors b/output/letter r-000500.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..1146fbba269513f995110999a79ef22e20b783b5 --- /dev/null +++ b/output/letter r-000500.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:7b1d9f9cdc27ef18b75c785cd1e4bdbdb379ce769cb34540eb170e2c397a5563 +size 54349890 diff --git a/output/letter r-000525.safetensors b/output/letter r-000525.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..9eeebcd92c388b3fd99ec2cc70e3b6f1d9cccfce --- /dev/null +++ b/output/letter r-000525.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:5e6fbadea26826df00f8795d9340010caaa1897e47cc8b390ac01593fcc25fed +size 54349890 diff --git a/output/letter r-000550.safetensors b/output/letter r-000550.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..0d24a84d937c037efa47a9c203dc0f9155dfd4bd --- /dev/null +++ b/output/letter r-000550.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:c3b6110947a53b3b4ae399e4ff4d3e84dfe35170408764c9b44bf2fef4c25bed +size 54349890 diff --git a/output/letter r-000575.safetensors b/output/letter r-000575.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..00120575e89ae866fca4e9f396d2b90e0c8d2600 --- /dev/null +++ b/output/letter r-000575.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:20c4e5fe5d5ee2ea091c6467728c9037caca184231a6915507e43f6131f0975d +size 54349890 diff --git a/output/letter r-000600.safetensors b/output/letter r-000600.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..de953b773093b3d7f5aa23d8a06ad61d8f2f9808 --- /dev/null +++ b/output/letter r-000600.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:01fd59f92e7e34cfc25f75ed1adeb44849c014a32c564f0688b772e0bcf8f638 +size 54349890 diff --git a/output/letter r-000625.safetensors b/output/letter r-000625.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..72d44defcbc2a7eeb71d8d59fc37bc4fd7507513 --- /dev/null +++ b/output/letter r-000625.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:590057a48149b51eff2372286f1c7e1deaa94cc264420b471bb44579b9907814 +size 54349890 diff --git a/output/letter r-000650.safetensors b/output/letter r-000650.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..471ad8a4cb05a4ee21e540e03da1c683143303c8 --- /dev/null +++ b/output/letter r-000650.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:447f5cacc0d93c09be4bf5c1535d28fd81c2300763b5ef443f450933c8184816 +size 54349890 diff --git a/output/letter r-000675.safetensors b/output/letter r-000675.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..c0760d97d3869e092b1fe99fba6ccb635e736a81 --- /dev/null +++ b/output/letter r-000675.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:5d7212b0edcf08100d7d8e82f5c03a1150573e5712af888a8c2af4a39436c8d8 +size 54349890 diff --git a/output/letter r-000700.safetensors b/output/letter r-000700.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..24f8871a0f030a454e0a4b90c365cb84c9581cc4 --- /dev/null +++ b/output/letter r-000700.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:ecc8eff8f7eee219914eca1d9db426b82192419a5030c28e6613eb3bddf4c54a +size 54349890 diff --git a/output/letter r-000725.safetensors b/output/letter r-000725.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..df8580d0043aebf52b8af79a6c936de8d3bb76a0 --- /dev/null +++ b/output/letter r-000725.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:9ac1b52554d39ea134474a5b808597979dc2eb1b4dd8f4dac0857007108e17f7 +size 54349890 diff --git a/output/letter r-000750.safetensors b/output/letter r-000750.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..a7704b99d901aad162b566e666b5a97bd3731090 --- /dev/null +++ b/output/letter r-000750.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:be52271fae6db35bc50a5fcc17623f1651daab9cd8646fd9cc3dc835751f30b5 +size 54349890 diff --git a/output/letter r-000775.safetensors b/output/letter r-000775.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..67330988694b2fde8029dbb3b81746718e0d6f8f --- /dev/null +++ b/output/letter r-000775.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:c920e494dad55de99c7560e0b7fc715649670e46c30c6b4526ecf83a2cb08162 +size 54349890 diff --git a/output/letter r.safetensors b/output/letter r.safetensors new file mode 100644 index 0000000000000000000000000000000000000000..bf3062b539409311b86e55d7408e9b0ceec0054b --- /dev/null +++ b/output/letter r.safetensors @@ -0,0 +1,3 @@ +version https://git-lfs.github.com/spec/v1 +oid sha256:3a767910161574595e26c41a271dab698d42fe74c0fcf728ed766d777bf8d36b +size 54349890 diff --git a/output/sample/letter r_20230412175258_e000001_00.png b/output/sample/letter r_20230412175258_e000001_00.png new file mode 100644 index 0000000000000000000000000000000000000000..db589c4221333f303a1a7dcd83bc3a9702482c2e Binary files /dev/null and b/output/sample/letter r_20230412175258_e000001_00.png differ diff --git a/output/sample/letter r_20230412175301_e000002_00.png b/output/sample/letter r_20230412175301_e000002_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5677000932f849340fbea7c0c312a2ef7604c863 Binary files /dev/null and b/output/sample/letter r_20230412175301_e000002_00.png differ diff --git a/output/sample/letter r_20230412175304_e000003_00.png b/output/sample/letter r_20230412175304_e000003_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a4ea82c95ce26f651beee0aa14fed89df896e56b Binary files /dev/null and b/output/sample/letter r_20230412175304_e000003_00.png differ diff --git a/output/sample/letter r_20230412175307_e000004_00.png b/output/sample/letter r_20230412175307_e000004_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6ce3e2328c292bbde6f6c88611c3b26ecc6a2acd Binary files /dev/null and b/output/sample/letter r_20230412175307_e000004_00.png differ diff --git a/output/sample/letter r_20230412175311_e000005_00.png b/output/sample/letter r_20230412175311_e000005_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6556786fa3a7a28a8bf211facb2072f3fff46286 Binary files /dev/null and b/output/sample/letter r_20230412175311_e000005_00.png differ diff --git a/output/sample/letter r_20230412175314_e000006_00.png b/output/sample/letter r_20230412175314_e000006_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3f4598d07ea253d8df598b9c736fa7c8ef6d9ee5 Binary files /dev/null and b/output/sample/letter r_20230412175314_e000006_00.png differ diff --git a/output/sample/letter r_20230412175317_e000007_00.png b/output/sample/letter r_20230412175317_e000007_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8d64ad33c7d44e6f1ff6fe25b3c3c713ed75f46d Binary files /dev/null and b/output/sample/letter r_20230412175317_e000007_00.png differ diff --git a/output/sample/letter r_20230412175320_e000008_00.png b/output/sample/letter r_20230412175320_e000008_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dae0ba52e4f3b5095347916bb70b3cb1dcd86e1b Binary files /dev/null and b/output/sample/letter r_20230412175320_e000008_00.png differ diff --git a/output/sample/letter r_20230412175323_e000009_00.png b/output/sample/letter r_20230412175323_e000009_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9475fa385b58624eed45348c22631c7883f868cc Binary files /dev/null and b/output/sample/letter r_20230412175323_e000009_00.png differ diff --git a/output/sample/letter r_20230412175326_e000010_00.png b/output/sample/letter r_20230412175326_e000010_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9364ccdeb0ccdea82d4cf30c1fcefe09b4c2c36e Binary files /dev/null and b/output/sample/letter r_20230412175326_e000010_00.png differ diff --git a/output/sample/letter r_20230412175330_e000011_00.png b/output/sample/letter r_20230412175330_e000011_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5525f3f90ca65e8fd44c89096efbee2e4006e9c7 Binary files /dev/null and b/output/sample/letter r_20230412175330_e000011_00.png differ diff --git a/output/sample/letter r_20230412175333_e000012_00.png b/output/sample/letter r_20230412175333_e000012_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f2b732904e978666f2660109f45f502e4d732a5f Binary files /dev/null and b/output/sample/letter r_20230412175333_e000012_00.png differ diff --git a/output/sample/letter r_20230412175336_e000013_00.png b/output/sample/letter r_20230412175336_e000013_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b56f0242a4966b5fe63c39e168f1fcc1b92fecfc Binary files /dev/null and b/output/sample/letter r_20230412175336_e000013_00.png differ diff --git a/output/sample/letter r_20230412175339_e000014_00.png b/output/sample/letter r_20230412175339_e000014_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ae2b4a3449673097cde93e0955c0828232582c9c Binary files /dev/null and b/output/sample/letter r_20230412175339_e000014_00.png differ diff --git a/output/sample/letter r_20230412175342_e000015_00.png b/output/sample/letter r_20230412175342_e000015_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3f66f5270e36b47197767562995f6a7f10a45c41 Binary files /dev/null and b/output/sample/letter r_20230412175342_e000015_00.png differ diff --git a/output/sample/letter r_20230412175345_e000016_00.png b/output/sample/letter r_20230412175345_e000016_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2ff7dd621710fcd0c2e4221e43240cbb24bfb056 Binary files /dev/null and b/output/sample/letter r_20230412175345_e000016_00.png differ diff --git a/output/sample/letter r_20230412175349_e000017_00.png b/output/sample/letter r_20230412175349_e000017_00.png new file mode 100644 index 0000000000000000000000000000000000000000..702af8d011997d0e2182a69907c60850191278a8 Binary files /dev/null and b/output/sample/letter r_20230412175349_e000017_00.png differ diff --git a/output/sample/letter r_20230412175352_e000018_00.png b/output/sample/letter r_20230412175352_e000018_00.png new file mode 100644 index 0000000000000000000000000000000000000000..acbcee755c7d41df48ed27b2ca8af3e355ea0a60 Binary files /dev/null and b/output/sample/letter r_20230412175352_e000018_00.png differ diff --git a/output/sample/letter r_20230412175355_e000019_00.png b/output/sample/letter r_20230412175355_e000019_00.png new file mode 100644 index 0000000000000000000000000000000000000000..327e894289063ddd37e7fc4f14541d698ea4538a Binary files /dev/null and b/output/sample/letter r_20230412175355_e000019_00.png differ diff --git a/output/sample/letter r_20230412175358_e000020_00.png b/output/sample/letter r_20230412175358_e000020_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6d3a98614cf5ce8ed263b6266d110512ae6ac3d5 Binary files /dev/null and b/output/sample/letter r_20230412175358_e000020_00.png differ diff --git a/output/sample/letter r_20230412175401_e000021_00.png b/output/sample/letter r_20230412175401_e000021_00.png new file mode 100644 index 0000000000000000000000000000000000000000..10a196eac3c376b225fb423ff85ff9c1896443f7 Binary files /dev/null and b/output/sample/letter r_20230412175401_e000021_00.png differ diff --git a/output/sample/letter r_20230412175404_e000022_00.png b/output/sample/letter r_20230412175404_e000022_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7336828980bd19991dae913d9a4dbe1f16303082 Binary files /dev/null and b/output/sample/letter r_20230412175404_e000022_00.png differ diff --git a/output/sample/letter r_20230412175407_e000023_00.png b/output/sample/letter r_20230412175407_e000023_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c60fac2118772f26725fa9a0980045d34a667534 Binary files /dev/null and b/output/sample/letter r_20230412175407_e000023_00.png differ diff --git a/output/sample/letter r_20230412175410_e000024_00.png b/output/sample/letter r_20230412175410_e000024_00.png new file mode 100644 index 0000000000000000000000000000000000000000..48a38d34a94344b2d85a15030012d3134976687a Binary files /dev/null and b/output/sample/letter r_20230412175410_e000024_00.png differ diff --git a/output/sample/letter r_20230412175414_e000025_00.png b/output/sample/letter r_20230412175414_e000025_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2cf3bf3f17a8e1133ac5c1e919a21820d636d7d7 Binary files /dev/null and b/output/sample/letter r_20230412175414_e000025_00.png differ diff --git a/output/sample/letter r_20230412175417_e000026_00.png b/output/sample/letter r_20230412175417_e000026_00.png new file mode 100644 index 0000000000000000000000000000000000000000..acbcee755c7d41df48ed27b2ca8af3e355ea0a60 Binary files /dev/null and b/output/sample/letter r_20230412175417_e000026_00.png differ diff --git a/output/sample/letter r_20230412175420_e000027_00.png b/output/sample/letter r_20230412175420_e000027_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ce7cdd5015b585600beda4e4a6ee130da168c1cf Binary files /dev/null and b/output/sample/letter r_20230412175420_e000027_00.png differ diff --git a/output/sample/letter r_20230412175423_e000028_00.png b/output/sample/letter r_20230412175423_e000028_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f9407e0ae1d78a1e5b15ca781d430f6a66df8a4d Binary files /dev/null and b/output/sample/letter r_20230412175423_e000028_00.png differ diff --git a/output/sample/letter r_20230412175426_e000029_00.png b/output/sample/letter r_20230412175426_e000029_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d6a3deee2f32d1c90e609ec644feebb546b1e02e Binary files /dev/null and b/output/sample/letter r_20230412175426_e000029_00.png differ diff --git a/output/sample/letter r_20230412175429_e000030_00.png b/output/sample/letter r_20230412175429_e000030_00.png new file mode 100644 index 0000000000000000000000000000000000000000..69cc7623fa52de3f47746dcfeda581673b3dbf57 Binary files /dev/null and b/output/sample/letter r_20230412175429_e000030_00.png differ diff --git a/output/sample/letter r_20230412175432_e000031_00.png b/output/sample/letter r_20230412175432_e000031_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2863deca9295ab58f94c1d1258c3fa6e84652f17 Binary files /dev/null and b/output/sample/letter r_20230412175432_e000031_00.png differ diff --git a/output/sample/letter r_20230412175435_e000032_00.png b/output/sample/letter r_20230412175435_e000032_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0a4766d197b7ce887cdfed39d105de6171f88091 Binary files /dev/null and b/output/sample/letter r_20230412175435_e000032_00.png differ diff --git a/output/sample/letter r_20230412175438_e000033_00.png b/output/sample/letter r_20230412175438_e000033_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a35c10f206df89bf334eeda37cec14a836bde961 Binary files /dev/null and b/output/sample/letter r_20230412175438_e000033_00.png differ diff --git a/output/sample/letter r_20230412175441_e000034_00.png b/output/sample/letter r_20230412175441_e000034_00.png new file mode 100644 index 0000000000000000000000000000000000000000..225216d6b32bffd3980eb78e616164e375e473c5 Binary files /dev/null and b/output/sample/letter r_20230412175441_e000034_00.png differ diff --git a/output/sample/letter r_20230412175445_e000035_00.png b/output/sample/letter r_20230412175445_e000035_00.png new file mode 100644 index 0000000000000000000000000000000000000000..92442c1721d434afb485e846f3cb1d0be01a9c8c Binary files /dev/null and b/output/sample/letter r_20230412175445_e000035_00.png differ diff --git a/output/sample/letter r_20230412175448_e000036_00.png b/output/sample/letter r_20230412175448_e000036_00.png new file mode 100644 index 0000000000000000000000000000000000000000..649ad200756f83f1c6a9ca461a4382143e70e63a Binary files /dev/null and b/output/sample/letter r_20230412175448_e000036_00.png differ diff --git a/output/sample/letter r_20230412175451_e000037_00.png b/output/sample/letter r_20230412175451_e000037_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3a4d9535b3661237b1bf80a58bad418724b39b33 Binary files /dev/null and b/output/sample/letter r_20230412175451_e000037_00.png differ diff --git a/output/sample/letter r_20230412175454_e000038_00.png b/output/sample/letter r_20230412175454_e000038_00.png new file mode 100644 index 0000000000000000000000000000000000000000..07c63e0a4a63d665d51b3757db48f2ba7b5d7ffc Binary files /dev/null and b/output/sample/letter r_20230412175454_e000038_00.png differ diff --git a/output/sample/letter r_20230412175457_e000039_00.png b/output/sample/letter r_20230412175457_e000039_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d1ea36984d9ec517eb4b9948ebd1fa78c61c0823 Binary files /dev/null and b/output/sample/letter r_20230412175457_e000039_00.png differ diff --git a/output/sample/letter r_20230412175500_e000040_00.png b/output/sample/letter r_20230412175500_e000040_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5ff5b93cb7f9539d7516762a3444140b44f44e5b Binary files /dev/null and b/output/sample/letter r_20230412175500_e000040_00.png differ diff --git a/output/sample/letter r_20230412175503_e000041_00.png b/output/sample/letter r_20230412175503_e000041_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6519e75cda2101aa701848bb750d381bf32e2606 Binary files /dev/null and b/output/sample/letter r_20230412175503_e000041_00.png differ diff --git a/output/sample/letter r_20230412175506_e000042_00.png b/output/sample/letter r_20230412175506_e000042_00.png new file mode 100644 index 0000000000000000000000000000000000000000..22b490660cc447e4b339cc0f82334ce541ac3638 Binary files /dev/null and b/output/sample/letter r_20230412175506_e000042_00.png differ diff --git a/output/sample/letter r_20230412175509_e000043_00.png b/output/sample/letter r_20230412175509_e000043_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f5ed878a45d1ba85dd57210be6526bc87d1aefd7 Binary files /dev/null and b/output/sample/letter r_20230412175509_e000043_00.png differ diff --git a/output/sample/letter r_20230412175513_e000044_00.png b/output/sample/letter r_20230412175513_e000044_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b0a8caf34a3165f40797c01460c0b319422ed050 Binary files /dev/null and b/output/sample/letter r_20230412175513_e000044_00.png differ diff --git a/output/sample/letter r_20230412175516_e000045_00.png b/output/sample/letter r_20230412175516_e000045_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6b76fdc065f3122cc3ed6abc76c817ff545317de Binary files /dev/null and b/output/sample/letter r_20230412175516_e000045_00.png differ diff --git a/output/sample/letter r_20230412175519_e000046_00.png b/output/sample/letter r_20230412175519_e000046_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0114924fd0522d069d40bf5f9cfa5a3d668077e2 Binary files /dev/null and b/output/sample/letter r_20230412175519_e000046_00.png differ diff --git a/output/sample/letter r_20230412175522_e000047_00.png b/output/sample/letter r_20230412175522_e000047_00.png new file mode 100644 index 0000000000000000000000000000000000000000..90cc108f9092c961fffe3c1f6a350b6bc08538fe Binary files /dev/null and b/output/sample/letter r_20230412175522_e000047_00.png differ diff --git a/output/sample/letter r_20230412175525_e000048_00.png b/output/sample/letter r_20230412175525_e000048_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dab97dd7006624e2a8d235bfa7811c4782e47721 Binary files /dev/null and b/output/sample/letter r_20230412175525_e000048_00.png differ diff --git a/output/sample/letter r_20230412175528_e000049_00.png b/output/sample/letter r_20230412175528_e000049_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ae45d76f209c8757ac226397925142e8edfea72d Binary files /dev/null and b/output/sample/letter r_20230412175528_e000049_00.png differ diff --git a/output/sample/letter r_20230412175532_e000050_00.png b/output/sample/letter r_20230412175532_e000050_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a3f879a5e41626269134cf940639c8323a120513 Binary files /dev/null and b/output/sample/letter r_20230412175532_e000050_00.png differ diff --git a/output/sample/letter r_20230412175535_e000051_00.png b/output/sample/letter r_20230412175535_e000051_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d3be11fc4b4135597e726b95ed81328303e66281 Binary files /dev/null and b/output/sample/letter r_20230412175535_e000051_00.png differ diff --git a/output/sample/letter r_20230412175538_e000052_00.png b/output/sample/letter r_20230412175538_e000052_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d4a6e9b87017180aa3037fd2cc34e3fefbb7f439 Binary files /dev/null and b/output/sample/letter r_20230412175538_e000052_00.png differ diff --git a/output/sample/letter r_20230412175541_e000053_00.png b/output/sample/letter r_20230412175541_e000053_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c4165532383efd8c5ad96d38f3c41a2bef801dd1 Binary files /dev/null and b/output/sample/letter r_20230412175541_e000053_00.png differ diff --git a/output/sample/letter r_20230412175544_e000054_00.png b/output/sample/letter r_20230412175544_e000054_00.png new file mode 100644 index 0000000000000000000000000000000000000000..43402aeb542112f0f966162662f3213008759391 Binary files /dev/null and b/output/sample/letter r_20230412175544_e000054_00.png differ diff --git a/output/sample/letter r_20230412175547_e000055_00.png b/output/sample/letter r_20230412175547_e000055_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5079bb1697fe189298baae5696a82551b87acf19 Binary files /dev/null and b/output/sample/letter r_20230412175547_e000055_00.png differ diff --git a/output/sample/letter r_20230412175550_e000056_00.png b/output/sample/letter r_20230412175550_e000056_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4ea0a61e4f53ca53498a47b994f2ec2ae2a70fa6 Binary files /dev/null and b/output/sample/letter r_20230412175550_e000056_00.png differ diff --git a/output/sample/letter r_20230412175553_e000057_00.png b/output/sample/letter r_20230412175553_e000057_00.png new file mode 100644 index 0000000000000000000000000000000000000000..504480b247718f758f338ebb3c4d2a852fe6c357 Binary files /dev/null and b/output/sample/letter r_20230412175553_e000057_00.png differ diff --git a/output/sample/letter r_20230412175557_e000058_00.png b/output/sample/letter r_20230412175557_e000058_00.png new file mode 100644 index 0000000000000000000000000000000000000000..82379b4bf21c7b3b34abffd8de58935782b092f2 Binary files /dev/null and b/output/sample/letter r_20230412175557_e000058_00.png differ diff --git a/output/sample/letter r_20230412175600_e000059_00.png b/output/sample/letter r_20230412175600_e000059_00.png new file mode 100644 index 0000000000000000000000000000000000000000..05820e9a72ec69c44bea8d63c061de62a679b39e Binary files /dev/null and b/output/sample/letter r_20230412175600_e000059_00.png differ diff --git a/output/sample/letter r_20230412175603_e000060_00.png b/output/sample/letter r_20230412175603_e000060_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ad24ed45f9349d8a4a782e2fc19c9f29f6602275 Binary files /dev/null and b/output/sample/letter r_20230412175603_e000060_00.png differ diff --git a/output/sample/letter r_20230412175606_e000061_00.png b/output/sample/letter r_20230412175606_e000061_00.png new file mode 100644 index 0000000000000000000000000000000000000000..df22c31d9566175ab7680dce51b667b906fe1914 Binary files /dev/null and b/output/sample/letter r_20230412175606_e000061_00.png differ diff --git a/output/sample/letter r_20230412175609_e000062_00.png b/output/sample/letter r_20230412175609_e000062_00.png new file mode 100644 index 0000000000000000000000000000000000000000..80490efb6cecc07c28d57e3ebcbf8c0832f89711 Binary files /dev/null and b/output/sample/letter r_20230412175609_e000062_00.png differ diff --git a/output/sample/letter r_20230412175612_e000063_00.png b/output/sample/letter r_20230412175612_e000063_00.png new file mode 100644 index 0000000000000000000000000000000000000000..abccfc50863f45d0990681ab34793698799882a5 Binary files /dev/null and b/output/sample/letter r_20230412175612_e000063_00.png differ diff --git a/output/sample/letter r_20230412175615_e000064_00.png b/output/sample/letter r_20230412175615_e000064_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cbc121433ac895ccb061b5a196069f0a2afccfa8 Binary files /dev/null and b/output/sample/letter r_20230412175615_e000064_00.png differ diff --git a/output/sample/letter r_20230412175618_e000065_00.png b/output/sample/letter r_20230412175618_e000065_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2be7d4ed586d39f3927e4a662cd8774fa56d4d1f Binary files /dev/null and b/output/sample/letter r_20230412175618_e000065_00.png differ diff --git a/output/sample/letter r_20230412175622_e000066_00.png b/output/sample/letter r_20230412175622_e000066_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7c81f94cf2f8941dfdf809058d6290e1a308e50a Binary files /dev/null and b/output/sample/letter r_20230412175622_e000066_00.png differ diff --git a/output/sample/letter r_20230412175625_e000067_00.png b/output/sample/letter r_20230412175625_e000067_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5fd725cb6dc7f269b94af5cbc7df2f2ed8b811d8 Binary files /dev/null and b/output/sample/letter r_20230412175625_e000067_00.png differ diff --git a/output/sample/letter r_20230412175628_e000068_00.png b/output/sample/letter r_20230412175628_e000068_00.png new file mode 100644 index 0000000000000000000000000000000000000000..64d4939396f378d13ed420c0aea6c815e1a11d19 Binary files /dev/null and b/output/sample/letter r_20230412175628_e000068_00.png differ diff --git a/output/sample/letter r_20230412175631_e000069_00.png b/output/sample/letter r_20230412175631_e000069_00.png new file mode 100644 index 0000000000000000000000000000000000000000..436ed1f8049fbfca0a46b181254931778bdb8229 Binary files /dev/null and b/output/sample/letter r_20230412175631_e000069_00.png differ diff --git a/output/sample/letter r_20230412175634_e000070_00.png b/output/sample/letter r_20230412175634_e000070_00.png new file mode 100644 index 0000000000000000000000000000000000000000..07360e13045102854e70daf2a83d09be587787f9 Binary files /dev/null and b/output/sample/letter r_20230412175634_e000070_00.png differ diff --git a/output/sample/letter r_20230412175637_e000071_00.png b/output/sample/letter r_20230412175637_e000071_00.png new file mode 100644 index 0000000000000000000000000000000000000000..edf2303b5005d98bd3f4ea72487e9380bd32b5b0 Binary files /dev/null and b/output/sample/letter r_20230412175637_e000071_00.png differ diff --git a/output/sample/letter r_20230412175640_e000072_00.png b/output/sample/letter r_20230412175640_e000072_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6d1d218042904c1d6f9f1227eb001994f3c1ca44 Binary files /dev/null and b/output/sample/letter r_20230412175640_e000072_00.png differ diff --git a/output/sample/letter r_20230412175643_e000073_00.png b/output/sample/letter r_20230412175643_e000073_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c1a85cc7ca8d86c3f0c2f1178813ed75a5097b00 Binary files /dev/null and b/output/sample/letter r_20230412175643_e000073_00.png differ diff --git a/output/sample/letter r_20230412175646_e000074_00.png b/output/sample/letter r_20230412175646_e000074_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6ac7bb73587837ae190b1fbddc18a26d4f8e6e72 Binary files /dev/null and b/output/sample/letter r_20230412175646_e000074_00.png differ diff --git a/output/sample/letter r_20230412175649_e000075_00.png b/output/sample/letter r_20230412175649_e000075_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e6e282dc07ab6b67f970624a146613b558602e7a Binary files /dev/null and b/output/sample/letter r_20230412175649_e000075_00.png differ diff --git a/output/sample/letter r_20230412175652_e000076_00.png b/output/sample/letter r_20230412175652_e000076_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a288ea59836564ae5a4e504e9ad4a58245900a7a Binary files /dev/null and b/output/sample/letter r_20230412175652_e000076_00.png differ diff --git a/output/sample/letter r_20230412175655_e000077_00.png b/output/sample/letter r_20230412175655_e000077_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e53a3c03bbc13626679b9e4c4f36c6ace5fad666 Binary files /dev/null and b/output/sample/letter r_20230412175655_e000077_00.png differ diff --git a/output/sample/letter r_20230412175659_e000078_00.png b/output/sample/letter r_20230412175659_e000078_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e9612021e82d8bd520c7fcc87e3aedb68d3d20bb Binary files /dev/null and b/output/sample/letter r_20230412175659_e000078_00.png differ diff --git a/output/sample/letter r_20230412175702_e000079_00.png b/output/sample/letter r_20230412175702_e000079_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d290f1cf6e199cee89f176586d4b4793ea068d21 Binary files /dev/null and b/output/sample/letter r_20230412175702_e000079_00.png differ diff --git a/output/sample/letter r_20230412175705_e000080_00.png b/output/sample/letter r_20230412175705_e000080_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1dcddf63554f17c43940699b50a46d8ac7913d0f Binary files /dev/null and b/output/sample/letter r_20230412175705_e000080_00.png differ diff --git a/output/sample/letter r_20230412175708_e000081_00.png b/output/sample/letter r_20230412175708_e000081_00.png new file mode 100644 index 0000000000000000000000000000000000000000..30fd20bd074c5055dc501ecf7bec2977508689fa Binary files /dev/null and b/output/sample/letter r_20230412175708_e000081_00.png differ diff --git a/output/sample/letter r_20230412175711_e000082_00.png b/output/sample/letter r_20230412175711_e000082_00.png new file mode 100644 index 0000000000000000000000000000000000000000..10a216f5419dacd4ffc24c3ddc6929fa6bc8ce00 Binary files /dev/null and b/output/sample/letter r_20230412175711_e000082_00.png differ diff --git a/output/sample/letter r_20230412175714_e000083_00.png b/output/sample/letter r_20230412175714_e000083_00.png new file mode 100644 index 0000000000000000000000000000000000000000..409829a90d009460ff3331c7590005cdf1cd4a1d Binary files /dev/null and b/output/sample/letter r_20230412175714_e000083_00.png differ diff --git a/output/sample/letter r_20230412175717_e000084_00.png b/output/sample/letter r_20230412175717_e000084_00.png new file mode 100644 index 0000000000000000000000000000000000000000..71ce4b1054801af4f7b3cc1347e6caed3ccff702 Binary files /dev/null and b/output/sample/letter r_20230412175717_e000084_00.png differ diff --git a/output/sample/letter r_20230412175720_e000085_00.png b/output/sample/letter r_20230412175720_e000085_00.png new file mode 100644 index 0000000000000000000000000000000000000000..42a4c4ef3bd6f6be33a74857d9771d6f9677b194 Binary files /dev/null and b/output/sample/letter r_20230412175720_e000085_00.png differ diff --git a/output/sample/letter r_20230412175723_e000086_00.png b/output/sample/letter r_20230412175723_e000086_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b4b5f5219981bf3fe6fca9e155d4336de6ef4317 Binary files /dev/null and b/output/sample/letter r_20230412175723_e000086_00.png differ diff --git a/output/sample/letter r_20230412175726_e000087_00.png b/output/sample/letter r_20230412175726_e000087_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1860da8e86085bf90a1c4e00b79b219ddcb3224b Binary files /dev/null and b/output/sample/letter r_20230412175726_e000087_00.png differ diff --git a/output/sample/letter r_20230412175729_e000088_00.png b/output/sample/letter r_20230412175729_e000088_00.png new file mode 100644 index 0000000000000000000000000000000000000000..db07f8f450022f05013b53d595a9cf0bced9b20b Binary files /dev/null and b/output/sample/letter r_20230412175729_e000088_00.png differ diff --git a/output/sample/letter r_20230412175732_e000089_00.png b/output/sample/letter r_20230412175732_e000089_00.png new file mode 100644 index 0000000000000000000000000000000000000000..17a500f7dbf12494b07b1acc95ce5959704409ad Binary files /dev/null and b/output/sample/letter r_20230412175732_e000089_00.png differ diff --git a/output/sample/letter r_20230412175735_e000090_00.png b/output/sample/letter r_20230412175735_e000090_00.png new file mode 100644 index 0000000000000000000000000000000000000000..26ad5830dc8acc569415527c3f9c00aea3bc9f1d Binary files /dev/null and b/output/sample/letter r_20230412175735_e000090_00.png differ diff --git a/output/sample/letter r_20230412175738_e000091_00.png b/output/sample/letter r_20230412175738_e000091_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6506a99b159ac26166df705f543767353f8f23e8 Binary files /dev/null and b/output/sample/letter r_20230412175738_e000091_00.png differ diff --git a/output/sample/letter r_20230412175741_e000092_00.png b/output/sample/letter r_20230412175741_e000092_00.png new file mode 100644 index 0000000000000000000000000000000000000000..58a64cc392f671df28aa5dd3d1130c6036bf2e38 Binary files /dev/null and b/output/sample/letter r_20230412175741_e000092_00.png differ diff --git a/output/sample/letter r_20230412175744_e000093_00.png b/output/sample/letter r_20230412175744_e000093_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c87a3cd80c657dbdac37d28b1dea790b41ee03d0 Binary files /dev/null and b/output/sample/letter r_20230412175744_e000093_00.png differ diff --git a/output/sample/letter r_20230412175747_e000094_00.png b/output/sample/letter r_20230412175747_e000094_00.png new file mode 100644 index 0000000000000000000000000000000000000000..807ea1b260115b0889e3f329f61f2f61a606e234 Binary files /dev/null and b/output/sample/letter r_20230412175747_e000094_00.png differ diff --git a/output/sample/letter r_20230412175750_e000095_00.png b/output/sample/letter r_20230412175750_e000095_00.png new file mode 100644 index 0000000000000000000000000000000000000000..01695d2b44c8126aeadc41bbc0880fb03ff8f38d Binary files /dev/null and b/output/sample/letter r_20230412175750_e000095_00.png differ diff --git a/output/sample/letter r_20230412175753_e000096_00.png b/output/sample/letter r_20230412175753_e000096_00.png new file mode 100644 index 0000000000000000000000000000000000000000..db4288565f3fcd5f4c0720d06453551411b5a40c Binary files /dev/null and b/output/sample/letter r_20230412175753_e000096_00.png differ diff --git a/output/sample/letter r_20230412175757_e000097_00.png b/output/sample/letter r_20230412175757_e000097_00.png new file mode 100644 index 0000000000000000000000000000000000000000..70376f19bb45c913dcdf1b37ff25e8a0f72907ae Binary files /dev/null and b/output/sample/letter r_20230412175757_e000097_00.png differ diff --git a/output/sample/letter r_20230412175800_e000098_00.png b/output/sample/letter r_20230412175800_e000098_00.png new file mode 100644 index 0000000000000000000000000000000000000000..de92f2504ac83cb2ab3eadd2eb246c0e608980c1 Binary files /dev/null and b/output/sample/letter r_20230412175800_e000098_00.png differ diff --git a/output/sample/letter r_20230412175803_e000099_00.png b/output/sample/letter r_20230412175803_e000099_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ab278087ab4ff569c431513abc75dd41623b24d9 Binary files /dev/null and b/output/sample/letter r_20230412175803_e000099_00.png differ diff --git a/output/sample/letter r_20230412175806_e000100_00.png b/output/sample/letter r_20230412175806_e000100_00.png new file mode 100644 index 0000000000000000000000000000000000000000..67149dcfe2ae9d62bb4d8a612aabdd3f4ddef526 Binary files /dev/null and b/output/sample/letter r_20230412175806_e000100_00.png differ diff --git a/output/sample/letter r_20230412175809_e000101_00.png b/output/sample/letter r_20230412175809_e000101_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e434407e0fc22e04156b3fdf071543f2854e55e2 Binary files /dev/null and b/output/sample/letter r_20230412175809_e000101_00.png differ diff --git a/output/sample/letter r_20230412175812_e000102_00.png b/output/sample/letter r_20230412175812_e000102_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5b7586aff04f0b28baadd9897bf359d01d46149a Binary files /dev/null and b/output/sample/letter r_20230412175812_e000102_00.png differ diff --git a/output/sample/letter r_20230412175815_e000103_00.png b/output/sample/letter r_20230412175815_e000103_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bc09045233fcd49504a15b59523b37c87b888aaa Binary files /dev/null and b/output/sample/letter r_20230412175815_e000103_00.png differ diff --git a/output/sample/letter r_20230412175818_e000104_00.png b/output/sample/letter r_20230412175818_e000104_00.png new file mode 100644 index 0000000000000000000000000000000000000000..25f87de0e3a3532f5d8293083870788d0a2c43ff Binary files /dev/null and b/output/sample/letter r_20230412175818_e000104_00.png differ diff --git a/output/sample/letter r_20230412175821_e000105_00.png b/output/sample/letter r_20230412175821_e000105_00.png new file mode 100644 index 0000000000000000000000000000000000000000..af68db0c740358f7a18da7f5fd833dc090a59db0 Binary files /dev/null and b/output/sample/letter r_20230412175821_e000105_00.png differ diff --git a/output/sample/letter r_20230412175824_e000106_00.png b/output/sample/letter r_20230412175824_e000106_00.png new file mode 100644 index 0000000000000000000000000000000000000000..477c6fe91d4dd7d1252cfaef46a9b2acaca9611c Binary files /dev/null and b/output/sample/letter r_20230412175824_e000106_00.png differ diff --git a/output/sample/letter r_20230412175828_e000107_00.png b/output/sample/letter r_20230412175828_e000107_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c16b17a989afdeb1a9905dd419a131818bfd841c Binary files /dev/null and b/output/sample/letter r_20230412175828_e000107_00.png differ diff --git a/output/sample/letter r_20230412175831_e000108_00.png b/output/sample/letter r_20230412175831_e000108_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d9cc406fe10e4324a4ac0712c3c20a79f9a3cdc3 Binary files /dev/null and b/output/sample/letter r_20230412175831_e000108_00.png differ diff --git a/output/sample/letter r_20230412175834_e000109_00.png b/output/sample/letter r_20230412175834_e000109_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0c2641b78d84ade9af818eeb2ca9773240f0bbe3 Binary files /dev/null and b/output/sample/letter r_20230412175834_e000109_00.png differ diff --git a/output/sample/letter r_20230412175837_e000110_00.png b/output/sample/letter r_20230412175837_e000110_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ef143b258925e1ca0316d67c272b32b8e010fa1e Binary files /dev/null and b/output/sample/letter r_20230412175837_e000110_00.png differ diff --git a/output/sample/letter r_20230412175840_e000111_00.png b/output/sample/letter r_20230412175840_e000111_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9c29bdb7e8d0c286856c6d9c63821de17c78507f Binary files /dev/null and b/output/sample/letter r_20230412175840_e000111_00.png differ diff --git a/output/sample/letter r_20230412175843_e000112_00.png b/output/sample/letter r_20230412175843_e000112_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5abdd0fb4fa4764f10b5e89e88aad8b9933ccc5b Binary files /dev/null and b/output/sample/letter r_20230412175843_e000112_00.png differ diff --git a/output/sample/letter r_20230412175846_e000113_00.png b/output/sample/letter r_20230412175846_e000113_00.png new file mode 100644 index 0000000000000000000000000000000000000000..63de3ef67d3b12ee486aeb5805fefe936cc49215 Binary files /dev/null and b/output/sample/letter r_20230412175846_e000113_00.png differ diff --git a/output/sample/letter r_20230412175849_e000114_00.png b/output/sample/letter r_20230412175849_e000114_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7daff75af761b556c73b88827587c209a20fdd65 Binary files /dev/null and b/output/sample/letter r_20230412175849_e000114_00.png differ diff --git a/output/sample/letter r_20230412175852_e000115_00.png b/output/sample/letter r_20230412175852_e000115_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9bd6bd0f4194dc42d9f59fb330951f9abb47016e Binary files /dev/null and b/output/sample/letter r_20230412175852_e000115_00.png differ diff --git a/output/sample/letter r_20230412175855_e000116_00.png b/output/sample/letter r_20230412175855_e000116_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a2d07815fb0558392d9d809a427034ca718d32ce Binary files /dev/null and b/output/sample/letter r_20230412175855_e000116_00.png differ diff --git a/output/sample/letter r_20230412175858_e000117_00.png b/output/sample/letter r_20230412175858_e000117_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0ca35aa0c83d5a38b4b24b02eebca7a755c2fb22 Binary files /dev/null and b/output/sample/letter r_20230412175858_e000117_00.png differ diff --git a/output/sample/letter r_20230412175902_e000118_00.png b/output/sample/letter r_20230412175902_e000118_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4ed67f2461cbc0fb07b2aa6a1e098e8979354e1c Binary files /dev/null and b/output/sample/letter r_20230412175902_e000118_00.png differ diff --git a/output/sample/letter r_20230412175905_e000119_00.png b/output/sample/letter r_20230412175905_e000119_00.png new file mode 100644 index 0000000000000000000000000000000000000000..801a45055c78e1481835ace37f36d44621cebaf3 Binary files /dev/null and b/output/sample/letter r_20230412175905_e000119_00.png differ diff --git a/output/sample/letter r_20230412175908_e000120_00.png b/output/sample/letter r_20230412175908_e000120_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3acf0e346ee5d646c014c57f367ec8069020cae7 Binary files /dev/null and b/output/sample/letter r_20230412175908_e000120_00.png differ diff --git a/output/sample/letter r_20230412175911_e000121_00.png b/output/sample/letter r_20230412175911_e000121_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b31a7dae4bb92cd3c6effb0af9aadd4db327dbfc Binary files /dev/null and b/output/sample/letter r_20230412175911_e000121_00.png differ diff --git a/output/sample/letter r_20230412175914_e000122_00.png b/output/sample/letter r_20230412175914_e000122_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3282fcc8b0015fd5105e431a14f9f814fb637c0b Binary files /dev/null and b/output/sample/letter r_20230412175914_e000122_00.png differ diff --git a/output/sample/letter r_20230412175917_e000123_00.png b/output/sample/letter r_20230412175917_e000123_00.png new file mode 100644 index 0000000000000000000000000000000000000000..46e53efda3119acc91158dd841f94d9637aea86b Binary files /dev/null and b/output/sample/letter r_20230412175917_e000123_00.png differ diff --git a/output/sample/letter r_20230412175920_e000124_00.png b/output/sample/letter r_20230412175920_e000124_00.png new file mode 100644 index 0000000000000000000000000000000000000000..fd608b04bb1519ffd9448ac4009de44fa72238c5 Binary files /dev/null and b/output/sample/letter r_20230412175920_e000124_00.png differ diff --git a/output/sample/letter r_20230412175924_e000125_00.png b/output/sample/letter r_20230412175924_e000125_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b95c72154d8552aa950a15ce6d37cb571d27d3ac Binary files /dev/null and b/output/sample/letter r_20230412175924_e000125_00.png differ diff --git a/output/sample/letter r_20230412175927_e000126_00.png b/output/sample/letter r_20230412175927_e000126_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7a2ce20e59a602130f12b519f7907f415afd72f0 Binary files /dev/null and b/output/sample/letter r_20230412175927_e000126_00.png differ diff --git a/output/sample/letter r_20230412175930_e000127_00.png b/output/sample/letter r_20230412175930_e000127_00.png new file mode 100644 index 0000000000000000000000000000000000000000..19c490cf99ce1648e716216e0169c991c81fd207 Binary files /dev/null and b/output/sample/letter r_20230412175930_e000127_00.png differ diff --git a/output/sample/letter r_20230412175933_e000128_00.png b/output/sample/letter r_20230412175933_e000128_00.png new file mode 100644 index 0000000000000000000000000000000000000000..25e84ba91e1895f892baefc2418d53a1bb2d47e6 Binary files /dev/null and b/output/sample/letter r_20230412175933_e000128_00.png differ diff --git a/output/sample/letter r_20230412175936_e000129_00.png b/output/sample/letter r_20230412175936_e000129_00.png new file mode 100644 index 0000000000000000000000000000000000000000..396bed5056e3ee1e989911921c9d2c9136552c28 Binary files /dev/null and b/output/sample/letter r_20230412175936_e000129_00.png differ diff --git a/output/sample/letter r_20230412175939_e000130_00.png b/output/sample/letter r_20230412175939_e000130_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bfa62495602e06e955f8d6f01e4b9281f392faa1 Binary files /dev/null and b/output/sample/letter r_20230412175939_e000130_00.png differ diff --git a/output/sample/letter r_20230412175942_e000131_00.png b/output/sample/letter r_20230412175942_e000131_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b8ee4ec29c24370a22bf29ab6d750de032d18d8b Binary files /dev/null and b/output/sample/letter r_20230412175942_e000131_00.png differ diff --git a/output/sample/letter r_20230412175945_e000132_00.png b/output/sample/letter r_20230412175945_e000132_00.png new file mode 100644 index 0000000000000000000000000000000000000000..770f870f021e700880162d62eb7ea28a860d9df3 Binary files /dev/null and b/output/sample/letter r_20230412175945_e000132_00.png differ diff --git a/output/sample/letter r_20230412175948_e000133_00.png b/output/sample/letter r_20230412175948_e000133_00.png new file mode 100644 index 0000000000000000000000000000000000000000..da605341850b2f860e66acfce4cca2f9dd2f9e6d Binary files /dev/null and b/output/sample/letter r_20230412175948_e000133_00.png differ diff --git a/output/sample/letter r_20230412175951_e000134_00.png b/output/sample/letter r_20230412175951_e000134_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cdf3212b51f61f520dddd309b057dd5644e95eef Binary files /dev/null and b/output/sample/letter r_20230412175951_e000134_00.png differ diff --git a/output/sample/letter r_20230412175955_e000135_00.png b/output/sample/letter r_20230412175955_e000135_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8f8f9c48ca3c2d88f55518dae88f9858c7f70ff7 Binary files /dev/null and b/output/sample/letter r_20230412175955_e000135_00.png differ diff --git a/output/sample/letter r_20230412175958_e000136_00.png b/output/sample/letter r_20230412175958_e000136_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cafcfebb42860d0c9b8a39390e9821f77769fad1 Binary files /dev/null and b/output/sample/letter r_20230412175958_e000136_00.png differ diff --git a/output/sample/letter r_20230412180001_e000137_00.png b/output/sample/letter r_20230412180001_e000137_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2fbdc12bf7d85de632afbc80773709320f943c5c Binary files /dev/null and b/output/sample/letter r_20230412180001_e000137_00.png differ diff --git a/output/sample/letter r_20230412180004_e000138_00.png b/output/sample/letter r_20230412180004_e000138_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c2ee04d010fb9306c1f9394d8dc3dcc32210db90 Binary files /dev/null and b/output/sample/letter r_20230412180004_e000138_00.png differ diff --git a/output/sample/letter r_20230412180007_e000139_00.png b/output/sample/letter r_20230412180007_e000139_00.png new file mode 100644 index 0000000000000000000000000000000000000000..eb94a31038934ba5ef1d9cbd528ff8d4b09ad8d7 Binary files /dev/null and b/output/sample/letter r_20230412180007_e000139_00.png differ diff --git a/output/sample/letter r_20230412180010_e000140_00.png b/output/sample/letter r_20230412180010_e000140_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5df540a674a452583fb50332db848964be0d99d8 Binary files /dev/null and b/output/sample/letter r_20230412180010_e000140_00.png differ diff --git a/output/sample/letter r_20230412180013_e000141_00.png b/output/sample/letter r_20230412180013_e000141_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7894c6d8e2dfd549796567afa7f687adbe3f31d4 Binary files /dev/null and b/output/sample/letter r_20230412180013_e000141_00.png differ diff --git a/output/sample/letter r_20230412180016_e000142_00.png b/output/sample/letter r_20230412180016_e000142_00.png new file mode 100644 index 0000000000000000000000000000000000000000..75ae53c11257532ecdbcd58ff6d8d889f582c06e Binary files /dev/null and b/output/sample/letter r_20230412180016_e000142_00.png differ diff --git a/output/sample/letter r_20230412180019_e000143_00.png b/output/sample/letter r_20230412180019_e000143_00.png new file mode 100644 index 0000000000000000000000000000000000000000..743cd9afc29c286e4c50de79166c2aa9e43f9751 Binary files /dev/null and b/output/sample/letter r_20230412180019_e000143_00.png differ diff --git a/output/sample/letter r_20230412180022_e000144_00.png b/output/sample/letter r_20230412180022_e000144_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3078047c212bd9c9840961568f6a6f7b54695517 Binary files /dev/null and b/output/sample/letter r_20230412180022_e000144_00.png differ diff --git a/output/sample/letter r_20230412180025_e000145_00.png b/output/sample/letter r_20230412180025_e000145_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3c230f6cef1d3350f9db65f2e5361e6f30473853 Binary files /dev/null and b/output/sample/letter r_20230412180025_e000145_00.png differ diff --git a/output/sample/letter r_20230412180028_e000146_00.png b/output/sample/letter r_20230412180028_e000146_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2bf82183e4c6848850fd5bfca80b7d7e834467fb Binary files /dev/null and b/output/sample/letter r_20230412180028_e000146_00.png differ diff --git a/output/sample/letter r_20230412180031_e000147_00.png b/output/sample/letter r_20230412180031_e000147_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6023dd31f10a744404f5021f5c6cc10486f1c949 Binary files /dev/null and b/output/sample/letter r_20230412180031_e000147_00.png differ diff --git a/output/sample/letter r_20230412180035_e000148_00.png b/output/sample/letter r_20230412180035_e000148_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9ead442c56c2fabb4fa51f54c93de403cae080a8 Binary files /dev/null and b/output/sample/letter r_20230412180035_e000148_00.png differ diff --git a/output/sample/letter r_20230412180038_e000149_00.png b/output/sample/letter r_20230412180038_e000149_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5cf3a0643414e571e9265b82c6f76f0d5c8db982 Binary files /dev/null and b/output/sample/letter r_20230412180038_e000149_00.png differ diff --git a/output/sample/letter r_20230412180041_e000150_00.png b/output/sample/letter r_20230412180041_e000150_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8404ead18b8dd6b1a7c025bec750ac9ca7ca1c98 Binary files /dev/null and b/output/sample/letter r_20230412180041_e000150_00.png differ diff --git a/output/sample/letter r_20230412180044_e000151_00.png b/output/sample/letter r_20230412180044_e000151_00.png new file mode 100644 index 0000000000000000000000000000000000000000..21f22ed69add841572377a9ddff989c0d535a6c6 Binary files /dev/null and b/output/sample/letter r_20230412180044_e000151_00.png differ diff --git a/output/sample/letter r_20230412180048_e000152_00.png b/output/sample/letter r_20230412180048_e000152_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1250a476595ecabe5c306f528183a947212ed11c Binary files /dev/null and b/output/sample/letter r_20230412180048_e000152_00.png differ diff --git a/output/sample/letter r_20230412180051_e000153_00.png b/output/sample/letter r_20230412180051_e000153_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e9e52881a076d4959250af58856cee22135fdefd Binary files /dev/null and b/output/sample/letter r_20230412180051_e000153_00.png differ diff --git a/output/sample/letter r_20230412180054_e000154_00.png b/output/sample/letter r_20230412180054_e000154_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9a34265b913692f5f606fd50a562cb3c63670565 Binary files /dev/null and b/output/sample/letter r_20230412180054_e000154_00.png differ diff --git a/output/sample/letter r_20230412180057_e000155_00.png b/output/sample/letter r_20230412180057_e000155_00.png new file mode 100644 index 0000000000000000000000000000000000000000..78c8c8861878067fd4481064f16eacced8e472ca Binary files /dev/null and b/output/sample/letter r_20230412180057_e000155_00.png differ diff --git a/output/sample/letter r_20230412180100_e000156_00.png b/output/sample/letter r_20230412180100_e000156_00.png new file mode 100644 index 0000000000000000000000000000000000000000..20965840a5778c7639fa3b15ae51c4e75e6f5fc2 Binary files /dev/null and b/output/sample/letter r_20230412180100_e000156_00.png differ diff --git a/output/sample/letter r_20230412180103_e000157_00.png b/output/sample/letter r_20230412180103_e000157_00.png new file mode 100644 index 0000000000000000000000000000000000000000..319b5f2e5b3b81b9d551f0aef206e4085f3efd70 Binary files /dev/null and b/output/sample/letter r_20230412180103_e000157_00.png differ diff --git a/output/sample/letter r_20230412180107_e000158_00.png b/output/sample/letter r_20230412180107_e000158_00.png new file mode 100644 index 0000000000000000000000000000000000000000..91f20d30a080c338a87e9f21cb52108fb2a6a68f Binary files /dev/null and b/output/sample/letter r_20230412180107_e000158_00.png differ diff --git a/output/sample/letter r_20230412180110_e000159_00.png b/output/sample/letter r_20230412180110_e000159_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5ab5876f02f17c01f4da0261c81a58a59f1f9116 Binary files /dev/null and b/output/sample/letter r_20230412180110_e000159_00.png differ diff --git a/output/sample/letter r_20230412180113_e000160_00.png b/output/sample/letter r_20230412180113_e000160_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c023923465483b2c6b89cb3f684526fa62e1034c Binary files /dev/null and b/output/sample/letter r_20230412180113_e000160_00.png differ diff --git a/output/sample/letter r_20230412180116_e000161_00.png b/output/sample/letter r_20230412180116_e000161_00.png new file mode 100644 index 0000000000000000000000000000000000000000..51c28c0c29d0408569448b94036485fff5ed4e40 Binary files /dev/null and b/output/sample/letter r_20230412180116_e000161_00.png differ diff --git a/output/sample/letter r_20230412180119_e000162_00.png b/output/sample/letter r_20230412180119_e000162_00.png new file mode 100644 index 0000000000000000000000000000000000000000..01f7d4e0c8e34880e94910c34fd40ea45b07ce78 Binary files /dev/null and b/output/sample/letter r_20230412180119_e000162_00.png differ diff --git a/output/sample/letter r_20230412180122_e000163_00.png b/output/sample/letter r_20230412180122_e000163_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0aa3a9a0a4d6bebabf115a69cae9b3d5ea3c0ce0 Binary files /dev/null and b/output/sample/letter r_20230412180122_e000163_00.png differ diff --git a/output/sample/letter r_20230412180125_e000164_00.png b/output/sample/letter r_20230412180125_e000164_00.png new file mode 100644 index 0000000000000000000000000000000000000000..393612da950cb26209f7adbad70f36d8c9daa6c5 Binary files /dev/null and b/output/sample/letter r_20230412180125_e000164_00.png differ diff --git a/output/sample/letter r_20230412180128_e000165_00.png b/output/sample/letter r_20230412180128_e000165_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5d632ffac72f0e9ba2c8351473e88362509a2842 Binary files /dev/null and b/output/sample/letter r_20230412180128_e000165_00.png differ diff --git a/output/sample/letter r_20230412180132_e000166_00.png b/output/sample/letter r_20230412180132_e000166_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d1b954700a4dc1736c34f4c8776d70c4ea35e6ef Binary files /dev/null and b/output/sample/letter r_20230412180132_e000166_00.png differ diff --git a/output/sample/letter r_20230412180135_e000167_00.png b/output/sample/letter r_20230412180135_e000167_00.png new file mode 100644 index 0000000000000000000000000000000000000000..081e72146d74d52e807c995877f0191fd56eadc4 Binary files /dev/null and b/output/sample/letter r_20230412180135_e000167_00.png differ diff --git a/output/sample/letter r_20230412180138_e000168_00.png b/output/sample/letter r_20230412180138_e000168_00.png new file mode 100644 index 0000000000000000000000000000000000000000..38b42586594b2235f192a53c022b9fa55f68485e Binary files /dev/null and b/output/sample/letter r_20230412180138_e000168_00.png differ diff --git a/output/sample/letter r_20230412180141_e000169_00.png b/output/sample/letter r_20230412180141_e000169_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3ecbe1e807237bfaabe4b97d3523dd15f8b80856 Binary files /dev/null and b/output/sample/letter r_20230412180141_e000169_00.png differ diff --git a/output/sample/letter r_20230412180144_e000170_00.png b/output/sample/letter r_20230412180144_e000170_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7b501c0ec996fc27635a60abb13d0e6143ed0820 Binary files /dev/null and b/output/sample/letter r_20230412180144_e000170_00.png differ diff --git a/output/sample/letter r_20230412180147_e000171_00.png b/output/sample/letter r_20230412180147_e000171_00.png new file mode 100644 index 0000000000000000000000000000000000000000..71af8d714b0008fa3964ff9748dc3c6bd9d05166 Binary files /dev/null and b/output/sample/letter r_20230412180147_e000171_00.png differ diff --git a/output/sample/letter r_20230412180150_e000172_00.png b/output/sample/letter r_20230412180150_e000172_00.png new file mode 100644 index 0000000000000000000000000000000000000000..33dd61405bf982d087bad485f2d48ae830d8f683 Binary files /dev/null and b/output/sample/letter r_20230412180150_e000172_00.png differ diff --git a/output/sample/letter r_20230412180153_e000173_00.png b/output/sample/letter r_20230412180153_e000173_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2b429be8a618c5a9ccf451f5986c14407fcf091f Binary files /dev/null and b/output/sample/letter r_20230412180153_e000173_00.png differ diff --git a/output/sample/letter r_20230412180156_e000174_00.png b/output/sample/letter r_20230412180156_e000174_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5becae6de0ce367e67112de49e3e2f44215c41ab Binary files /dev/null and b/output/sample/letter r_20230412180156_e000174_00.png differ diff --git a/output/sample/letter r_20230412180200_e000175_00.png b/output/sample/letter r_20230412180200_e000175_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0e56d2f69802ed3a30b5da3e82047c10dc60040d Binary files /dev/null and b/output/sample/letter r_20230412180200_e000175_00.png differ diff --git a/output/sample/letter r_20230412180203_e000176_00.png b/output/sample/letter r_20230412180203_e000176_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b2ee1fdea4cdf13edaf51b7c232040a520e633dc Binary files /dev/null and b/output/sample/letter r_20230412180203_e000176_00.png differ diff --git a/output/sample/letter r_20230412180206_e000177_00.png b/output/sample/letter r_20230412180206_e000177_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6c4ae9b0d81a89099cf74f997774266cbc60ed77 Binary files /dev/null and b/output/sample/letter r_20230412180206_e000177_00.png differ diff --git a/output/sample/letter r_20230412180209_e000178_00.png b/output/sample/letter r_20230412180209_e000178_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3b32fbc438dee0c151eb97518b7429d29f47f685 Binary files /dev/null and b/output/sample/letter r_20230412180209_e000178_00.png differ diff --git a/output/sample/letter r_20230412180212_e000179_00.png b/output/sample/letter r_20230412180212_e000179_00.png new file mode 100644 index 0000000000000000000000000000000000000000..117953084d1fca924406c7d21963297844628d6f Binary files /dev/null and b/output/sample/letter r_20230412180212_e000179_00.png differ diff --git a/output/sample/letter r_20230412180215_e000180_00.png b/output/sample/letter r_20230412180215_e000180_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6eb57fdd85d9bc3d4b2df44198b46f30bfb07a96 Binary files /dev/null and b/output/sample/letter r_20230412180215_e000180_00.png differ diff --git a/output/sample/letter r_20230412180218_e000181_00.png b/output/sample/letter r_20230412180218_e000181_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5212ee256c6ebd7b0aab8b25dd2ce49facffe8c1 Binary files /dev/null and b/output/sample/letter r_20230412180218_e000181_00.png differ diff --git a/output/sample/letter r_20230412180221_e000182_00.png b/output/sample/letter r_20230412180221_e000182_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0bade61a4a31928732f67411bd7efce21aeb7513 Binary files /dev/null and b/output/sample/letter r_20230412180221_e000182_00.png differ diff --git a/output/sample/letter r_20230412180224_e000183_00.png b/output/sample/letter r_20230412180224_e000183_00.png new file mode 100644 index 0000000000000000000000000000000000000000..23e70e0f16d262b37a746a61b1046d7ea6403afd Binary files /dev/null and b/output/sample/letter r_20230412180224_e000183_00.png differ diff --git a/output/sample/letter r_20230412180227_e000184_00.png b/output/sample/letter r_20230412180227_e000184_00.png new file mode 100644 index 0000000000000000000000000000000000000000..20fa97f5a7438f67dda64a807f6f2e27e329f0cf Binary files /dev/null and b/output/sample/letter r_20230412180227_e000184_00.png differ diff --git a/output/sample/letter r_20230412180230_e000185_00.png b/output/sample/letter r_20230412180230_e000185_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cc1dfeabb604d080420ee11e93ef0ceb1cabac4e Binary files /dev/null and b/output/sample/letter r_20230412180230_e000185_00.png differ diff --git a/output/sample/letter r_20230412180233_e000186_00.png b/output/sample/letter r_20230412180233_e000186_00.png new file mode 100644 index 0000000000000000000000000000000000000000..872e9b2c485048722e3af2ae884d581aa66cea03 Binary files /dev/null and b/output/sample/letter r_20230412180233_e000186_00.png differ diff --git a/output/sample/letter r_20230412180236_e000187_00.png b/output/sample/letter r_20230412180236_e000187_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8187ae5ca03abbbccd08a8d5cf38bd4886075829 Binary files /dev/null and b/output/sample/letter r_20230412180236_e000187_00.png differ diff --git a/output/sample/letter r_20230412180239_e000188_00.png b/output/sample/letter r_20230412180239_e000188_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dfc9c851038824cfea3b03f7137d283838553c34 Binary files /dev/null and b/output/sample/letter r_20230412180239_e000188_00.png differ diff --git a/output/sample/letter r_20230412180242_e000189_00.png b/output/sample/letter r_20230412180242_e000189_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0b3eda6513bc2635be7e865dbe3a481fa25d0337 Binary files /dev/null and b/output/sample/letter r_20230412180242_e000189_00.png differ diff --git a/output/sample/letter r_20230412180245_e000190_00.png b/output/sample/letter r_20230412180245_e000190_00.png new file mode 100644 index 0000000000000000000000000000000000000000..75601b1dec3806bff3e01077dd7e0cd51f70ed33 Binary files /dev/null and b/output/sample/letter r_20230412180245_e000190_00.png differ diff --git a/output/sample/letter r_20230412180248_e000191_00.png b/output/sample/letter r_20230412180248_e000191_00.png new file mode 100644 index 0000000000000000000000000000000000000000..abaaa1d8e99fc165f6bae4f6068520053db2be4c Binary files /dev/null and b/output/sample/letter r_20230412180248_e000191_00.png differ diff --git a/output/sample/letter r_20230412180251_e000192_00.png b/output/sample/letter r_20230412180251_e000192_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1ba1f3c30c2952ff87f5c7d9393c0766c1e25de8 Binary files /dev/null and b/output/sample/letter r_20230412180251_e000192_00.png differ diff --git a/output/sample/letter r_20230412180254_e000193_00.png b/output/sample/letter r_20230412180254_e000193_00.png new file mode 100644 index 0000000000000000000000000000000000000000..006beec1f0216798824ee3ca22c0ed95bfc0118e Binary files /dev/null and b/output/sample/letter r_20230412180254_e000193_00.png differ diff --git a/output/sample/letter r_20230412180257_e000194_00.png b/output/sample/letter r_20230412180257_e000194_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e71988a6c009643c2594088b555cb15b93b4851d Binary files /dev/null and b/output/sample/letter r_20230412180257_e000194_00.png differ diff --git a/output/sample/letter r_20230412180300_e000195_00.png b/output/sample/letter r_20230412180300_e000195_00.png new file mode 100644 index 0000000000000000000000000000000000000000..167faa25b17a2253a70a51372f44a297d9a8e21f Binary files /dev/null and b/output/sample/letter r_20230412180300_e000195_00.png differ diff --git a/output/sample/letter r_20230412180303_e000196_00.png b/output/sample/letter r_20230412180303_e000196_00.png new file mode 100644 index 0000000000000000000000000000000000000000..17f68f66bac4844eb77801bfb9cc56a93ea760c0 Binary files /dev/null and b/output/sample/letter r_20230412180303_e000196_00.png differ diff --git a/output/sample/letter r_20230412180306_e000197_00.png b/output/sample/letter r_20230412180306_e000197_00.png new file mode 100644 index 0000000000000000000000000000000000000000..88a61f104b04de003a3e2974c0ba129b359ceda2 Binary files /dev/null and b/output/sample/letter r_20230412180306_e000197_00.png differ diff --git a/output/sample/letter r_20230412180309_e000198_00.png b/output/sample/letter r_20230412180309_e000198_00.png new file mode 100644 index 0000000000000000000000000000000000000000..198baa0b9cb37441e8c2befad8536e1cc46770fc Binary files /dev/null and b/output/sample/letter r_20230412180309_e000198_00.png differ diff --git a/output/sample/letter r_20230412180312_e000199_00.png b/output/sample/letter r_20230412180312_e000199_00.png new file mode 100644 index 0000000000000000000000000000000000000000..51c5fe6fc0257dc2d9d1c77425cce852f9d2806d Binary files /dev/null and b/output/sample/letter r_20230412180312_e000199_00.png differ diff --git a/output/sample/letter r_20230412180316_e000200_00.png b/output/sample/letter r_20230412180316_e000200_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4b5cb6b6eadd919fc7b05d3579a4373c6cf620f5 Binary files /dev/null and b/output/sample/letter r_20230412180316_e000200_00.png differ diff --git a/output/sample/letter r_20230412180618_e000001_01.png b/output/sample/letter r_20230412180618_e000001_01.png new file mode 100644 index 0000000000000000000000000000000000000000..929e81b9294c393252b07350ef9405db0aefcbfe Binary files /dev/null and b/output/sample/letter r_20230412180618_e000001_01.png differ diff --git a/output/sample/letter r_20230412180621_e000002_01.png b/output/sample/letter r_20230412180621_e000002_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f13a63770f26c4014d4ffdf2eae32f966132bd52 Binary files /dev/null and b/output/sample/letter r_20230412180621_e000002_01.png differ diff --git a/output/sample/letter r_20230412180624_e000003_01.png b/output/sample/letter r_20230412180624_e000003_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c8f83d97b56809fe2359dce7a1c25bd62c77397d Binary files /dev/null and b/output/sample/letter r_20230412180624_e000003_01.png differ diff --git a/output/sample/letter r_20230412180627_e000004_01.png b/output/sample/letter r_20230412180627_e000004_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e416c06eab6143a8d14ce11db23cd12c9a897595 Binary files /dev/null and b/output/sample/letter r_20230412180627_e000004_01.png differ diff --git a/output/sample/letter r_20230412180630_e000005_01.png b/output/sample/letter r_20230412180630_e000005_01.png new file mode 100644 index 0000000000000000000000000000000000000000..576d946a5850e6f39a535fa038ca50097ad3eac9 Binary files /dev/null and b/output/sample/letter r_20230412180630_e000005_01.png differ diff --git a/output/sample/letter r_20230412180633_e000006_01.png b/output/sample/letter r_20230412180633_e000006_01.png new file mode 100644 index 0000000000000000000000000000000000000000..91ea60c5fa818a47cfe56636aa8977a9974d3a84 Binary files /dev/null and b/output/sample/letter r_20230412180633_e000006_01.png differ diff --git a/output/sample/letter r_20230412180637_e000007_01.png b/output/sample/letter r_20230412180637_e000007_01.png new file mode 100644 index 0000000000000000000000000000000000000000..06f5906580fa735ee925d5bfd936c5dc44435dda Binary files /dev/null and b/output/sample/letter r_20230412180637_e000007_01.png differ diff --git a/output/sample/letter r_20230412180640_e000008_01.png b/output/sample/letter r_20230412180640_e000008_01.png new file mode 100644 index 0000000000000000000000000000000000000000..0fab84fd8299e5df3ef8f541831b9a8c9b894a82 Binary files /dev/null and b/output/sample/letter r_20230412180640_e000008_01.png differ diff --git a/output/sample/letter r_20230412180643_e000009_01.png b/output/sample/letter r_20230412180643_e000009_01.png new file mode 100644 index 0000000000000000000000000000000000000000..19503e6990f47c1048e321050d6a7ee4cf9ab0ad Binary files /dev/null and b/output/sample/letter r_20230412180643_e000009_01.png differ diff --git a/output/sample/letter r_20230412180646_e000010_01.png b/output/sample/letter r_20230412180646_e000010_01.png new file mode 100644 index 0000000000000000000000000000000000000000..0a1ef43cfd578ca21557ff84404d4f1df8222829 Binary files /dev/null and b/output/sample/letter r_20230412180646_e000010_01.png differ diff --git a/output/sample/letter r_20230412180649_e000011_01.png b/output/sample/letter r_20230412180649_e000011_01.png new file mode 100644 index 0000000000000000000000000000000000000000..80859320dcd499d9e5abca8ffa765858c105958a Binary files /dev/null and b/output/sample/letter r_20230412180649_e000011_01.png differ diff --git a/output/sample/letter r_20230412180652_e000012_01.png b/output/sample/letter r_20230412180652_e000012_01.png new file mode 100644 index 0000000000000000000000000000000000000000..bde007b5b3c7e3757fa2d6b119a3b9bc277b0be4 Binary files /dev/null and b/output/sample/letter r_20230412180652_e000012_01.png differ diff --git a/output/sample/letter r_20230412180655_e000013_01.png b/output/sample/letter r_20230412180655_e000013_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3f56dba3dd2fb5c7311976198011389343e81c84 Binary files /dev/null and b/output/sample/letter r_20230412180655_e000013_01.png differ diff --git a/output/sample/letter r_20230412180658_e000014_01.png b/output/sample/letter r_20230412180658_e000014_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ec90a268ebb4ff9555bd09a23327c00777631d2a Binary files /dev/null and b/output/sample/letter r_20230412180658_e000014_01.png differ diff --git a/output/sample/letter r_20230412180701_e000015_01.png b/output/sample/letter r_20230412180701_e000015_01.png new file mode 100644 index 0000000000000000000000000000000000000000..73fec58a87a6e80f1afaf0a6fbe99166666571e1 Binary files /dev/null and b/output/sample/letter r_20230412180701_e000015_01.png differ diff --git a/output/sample/letter r_20230412180704_e000016_01.png b/output/sample/letter r_20230412180704_e000016_01.png new file mode 100644 index 0000000000000000000000000000000000000000..158b553a30de5717f4d1974b888444a676aa1169 Binary files /dev/null and b/output/sample/letter r_20230412180704_e000016_01.png differ diff --git a/output/sample/letter r_20230412180707_e000017_01.png b/output/sample/letter r_20230412180707_e000017_01.png new file mode 100644 index 0000000000000000000000000000000000000000..35bd375e5266ef093735034e5d9886f6b212fa10 Binary files /dev/null and b/output/sample/letter r_20230412180707_e000017_01.png differ diff --git a/output/sample/letter r_20230412180710_e000018_01.png b/output/sample/letter r_20230412180710_e000018_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f6ff2f018e3e19c7fcfd3852787b4e0e70a9f652 Binary files /dev/null and b/output/sample/letter r_20230412180710_e000018_01.png differ diff --git a/output/sample/letter r_20230412180713_e000019_01.png b/output/sample/letter r_20230412180713_e000019_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ba2c68c10766bddcf2fed6c2048e0a461294a679 Binary files /dev/null and b/output/sample/letter r_20230412180713_e000019_01.png differ diff --git a/output/sample/letter r_20230412180716_e000020_01.png b/output/sample/letter r_20230412180716_e000020_01.png new file mode 100644 index 0000000000000000000000000000000000000000..5abab73e7e689f34316dca93185b6b045d425b4d Binary files /dev/null and b/output/sample/letter r_20230412180716_e000020_01.png differ diff --git a/output/sample/letter r_20230412180719_e000021_01.png b/output/sample/letter r_20230412180719_e000021_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c6408f07175f3d1849f03efd72eb4ccad0ce40b8 Binary files /dev/null and b/output/sample/letter r_20230412180719_e000021_01.png differ diff --git a/output/sample/letter r_20230412180722_e000022_01.png b/output/sample/letter r_20230412180722_e000022_01.png new file mode 100644 index 0000000000000000000000000000000000000000..876803d70aa31e37d3ac8bea166ec85639a82fe0 Binary files /dev/null and b/output/sample/letter r_20230412180722_e000022_01.png differ diff --git a/output/sample/letter r_20230412180726_e000023_01.png b/output/sample/letter r_20230412180726_e000023_01.png new file mode 100644 index 0000000000000000000000000000000000000000..2eb1a4029e608794445d0ef5fab27786f3296045 Binary files /dev/null and b/output/sample/letter r_20230412180726_e000023_01.png differ diff --git a/output/sample/letter r_20230412180729_e000024_01.png b/output/sample/letter r_20230412180729_e000024_01.png new file mode 100644 index 0000000000000000000000000000000000000000..80a8c4821d74092c31cadf51ff445f08bfe90189 Binary files /dev/null and b/output/sample/letter r_20230412180729_e000024_01.png differ diff --git a/output/sample/letter r_20230412180732_e000025_01.png b/output/sample/letter r_20230412180732_e000025_01.png new file mode 100644 index 0000000000000000000000000000000000000000..054f5d6a044386040e09ff5e102f5b5f19a90019 Binary files /dev/null and b/output/sample/letter r_20230412180732_e000025_01.png differ diff --git a/output/sample/letter r_20230412180735_e000026_01.png b/output/sample/letter r_20230412180735_e000026_01.png new file mode 100644 index 0000000000000000000000000000000000000000..a5037c495f4d07a8f402fc42f1ef37a84204bff5 Binary files /dev/null and b/output/sample/letter r_20230412180735_e000026_01.png differ diff --git a/output/sample/letter r_20230412180738_e000027_01.png b/output/sample/letter r_20230412180738_e000027_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c3a276a3c63724c0cf3fc78740d866b02eb578a1 Binary files /dev/null and b/output/sample/letter r_20230412180738_e000027_01.png differ diff --git a/output/sample/letter r_20230412180741_e000028_01.png b/output/sample/letter r_20230412180741_e000028_01.png new file mode 100644 index 0000000000000000000000000000000000000000..08cb9712a944207e16cba0b2e0972ba52c73d6c6 Binary files /dev/null and b/output/sample/letter r_20230412180741_e000028_01.png differ diff --git a/output/sample/letter r_20230412180744_e000029_01.png b/output/sample/letter r_20230412180744_e000029_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c695f42def475f525d7ca7261850c99196774580 Binary files /dev/null and b/output/sample/letter r_20230412180744_e000029_01.png differ diff --git a/output/sample/letter r_20230412180747_e000030_01.png b/output/sample/letter r_20230412180747_e000030_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b87690b54087e816c9ce3bfc73c651de394d3d38 Binary files /dev/null and b/output/sample/letter r_20230412180747_e000030_01.png differ diff --git a/output/sample/letter r_20230412180750_e000031_01.png b/output/sample/letter r_20230412180750_e000031_01.png new file mode 100644 index 0000000000000000000000000000000000000000..41eb1e86e4660fa970ea15101e2ba593a383a4a1 Binary files /dev/null and b/output/sample/letter r_20230412180750_e000031_01.png differ diff --git a/output/sample/letter r_20230412180754_e000032_01.png b/output/sample/letter r_20230412180754_e000032_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ee9c15895cd983f4cc58921ff7a28f5f7eb2c288 Binary files /dev/null and b/output/sample/letter r_20230412180754_e000032_01.png differ diff --git a/output/sample/letter r_20230412180757_e000033_01.png b/output/sample/letter r_20230412180757_e000033_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e69b7fbfd98ae4983271ecbd53d9eb38578076ae Binary files /dev/null and b/output/sample/letter r_20230412180757_e000033_01.png differ diff --git a/output/sample/letter r_20230412180800_e000034_01.png b/output/sample/letter r_20230412180800_e000034_01.png new file mode 100644 index 0000000000000000000000000000000000000000..57ceebcdf0165f9339f5cf797c158e366678c333 Binary files /dev/null and b/output/sample/letter r_20230412180800_e000034_01.png differ diff --git a/output/sample/letter r_20230412180803_e000035_01.png b/output/sample/letter r_20230412180803_e000035_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1db466b18e6244f99341b3dd1c0b7604f2587946 Binary files /dev/null and b/output/sample/letter r_20230412180803_e000035_01.png differ diff --git a/output/sample/letter r_20230412180806_e000036_01.png b/output/sample/letter r_20230412180806_e000036_01.png new file mode 100644 index 0000000000000000000000000000000000000000..690aa46c8de17e135908b3e00a99c3a780252f47 Binary files /dev/null and b/output/sample/letter r_20230412180806_e000036_01.png differ diff --git a/output/sample/letter r_20230412180809_e000037_01.png b/output/sample/letter r_20230412180809_e000037_01.png new file mode 100644 index 0000000000000000000000000000000000000000..05dfbb3473740e17a5c8c25280b4650c358156a3 Binary files /dev/null and b/output/sample/letter r_20230412180809_e000037_01.png differ diff --git a/output/sample/letter r_20230412180812_e000038_01.png b/output/sample/letter r_20230412180812_e000038_01.png new file mode 100644 index 0000000000000000000000000000000000000000..dcbfabf411f1c963df06a8dfc2c447a4b1d8823d Binary files /dev/null and b/output/sample/letter r_20230412180812_e000038_01.png differ diff --git a/output/sample/letter r_20230412180815_e000039_01.png b/output/sample/letter r_20230412180815_e000039_01.png new file mode 100644 index 0000000000000000000000000000000000000000..93590383ed8f347f6473f239e814a69dee97f743 Binary files /dev/null and b/output/sample/letter r_20230412180815_e000039_01.png differ diff --git a/output/sample/letter r_20230412180818_e000040_01.png b/output/sample/letter r_20230412180818_e000040_01.png new file mode 100644 index 0000000000000000000000000000000000000000..93dc0ae35b3e18b12ffbb86f75bdbc7ad7f5d12b Binary files /dev/null and b/output/sample/letter r_20230412180818_e000040_01.png differ diff --git a/output/sample/letter r_20230412180821_e000041_01.png b/output/sample/letter r_20230412180821_e000041_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e16ade3d3d7a7bc7abea5061ec1120720e835ea5 Binary files /dev/null and b/output/sample/letter r_20230412180821_e000041_01.png differ diff --git a/output/sample/letter r_20230412180824_e000042_01.png b/output/sample/letter r_20230412180824_e000042_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7b1f2ef8938de03fda1d8baa40760f66ed5ee879 Binary files /dev/null and b/output/sample/letter r_20230412180824_e000042_01.png differ diff --git a/output/sample/letter r_20230412180827_e000043_01.png b/output/sample/letter r_20230412180827_e000043_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c60277e5616c6bf0249e4d83aa540a14784a5e8e Binary files /dev/null and b/output/sample/letter r_20230412180827_e000043_01.png differ diff --git a/output/sample/letter r_20230412180830_e000044_01.png b/output/sample/letter r_20230412180830_e000044_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1e3a2efff97e5b8453b0f18365a4cd24b3431638 Binary files /dev/null and b/output/sample/letter r_20230412180830_e000044_01.png differ diff --git a/output/sample/letter r_20230412180833_e000045_01.png b/output/sample/letter r_20230412180833_e000045_01.png new file mode 100644 index 0000000000000000000000000000000000000000..79f0c4a2b40241ad5e4c73b3179bb4bda50d81f2 Binary files /dev/null and b/output/sample/letter r_20230412180833_e000045_01.png differ diff --git a/output/sample/letter r_20230412180836_e000046_01.png b/output/sample/letter r_20230412180836_e000046_01.png new file mode 100644 index 0000000000000000000000000000000000000000..aafb8bc6b7528cd94668e10e005b659c24ce113c Binary files /dev/null and b/output/sample/letter r_20230412180836_e000046_01.png differ diff --git a/output/sample/letter r_20230412180839_e000047_01.png b/output/sample/letter r_20230412180839_e000047_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9d8fc643f71acb4f90a66d98b6c5dfcfa59b4830 Binary files /dev/null and b/output/sample/letter r_20230412180839_e000047_01.png differ diff --git a/output/sample/letter r_20230412180842_e000048_01.png b/output/sample/letter r_20230412180842_e000048_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ec7f3057b1ec03ffd58786709b6f87871cb33e79 Binary files /dev/null and b/output/sample/letter r_20230412180842_e000048_01.png differ diff --git a/output/sample/letter r_20230412180846_e000049_01.png b/output/sample/letter r_20230412180846_e000049_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c87a6d3387b45481476771c141bd188d9036040e Binary files /dev/null and b/output/sample/letter r_20230412180846_e000049_01.png differ diff --git a/output/sample/letter r_20230412180849_e000050_01.png b/output/sample/letter r_20230412180849_e000050_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9a452e162da12f62cf94fdb62bafdce7827d37f9 Binary files /dev/null and b/output/sample/letter r_20230412180849_e000050_01.png differ diff --git a/output/sample/letter r_20230412180852_e000051_01.png b/output/sample/letter r_20230412180852_e000051_01.png new file mode 100644 index 0000000000000000000000000000000000000000..01b44a06a5fabf8908a849a3b0614e0ed332d398 Binary files /dev/null and b/output/sample/letter r_20230412180852_e000051_01.png differ diff --git a/output/sample/letter r_20230412180855_e000052_01.png b/output/sample/letter r_20230412180855_e000052_01.png new file mode 100644 index 0000000000000000000000000000000000000000..33777015e73d8d22566d2ab9d1e21fdaf89ae548 Binary files /dev/null and b/output/sample/letter r_20230412180855_e000052_01.png differ diff --git a/output/sample/letter r_20230412180858_e000053_01.png b/output/sample/letter r_20230412180858_e000053_01.png new file mode 100644 index 0000000000000000000000000000000000000000..79ecb52546f0a80152a4b4a80cdb2a6d3a2e36e0 Binary files /dev/null and b/output/sample/letter r_20230412180858_e000053_01.png differ diff --git a/output/sample/letter r_20230412180901_e000054_01.png b/output/sample/letter r_20230412180901_e000054_01.png new file mode 100644 index 0000000000000000000000000000000000000000..0efa2a50dca6f0aede9d7b5dd1d3b1f94b089f4f Binary files /dev/null and b/output/sample/letter r_20230412180901_e000054_01.png differ diff --git a/output/sample/letter r_20230412180904_e000055_01.png b/output/sample/letter r_20230412180904_e000055_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c552b1ced5fd916e4a9bacca951d7ca3c9797f51 Binary files /dev/null and b/output/sample/letter r_20230412180904_e000055_01.png differ diff --git a/output/sample/letter r_20230412180907_e000056_01.png b/output/sample/letter r_20230412180907_e000056_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8452fada573bb926f936f726de6b944ab58c44d7 Binary files /dev/null and b/output/sample/letter r_20230412180907_e000056_01.png differ diff --git a/output/sample/letter r_20230412180911_e000057_01.png b/output/sample/letter r_20230412180911_e000057_01.png new file mode 100644 index 0000000000000000000000000000000000000000..5cd5ca20246cde4141dcca05bead9de40c5fe140 Binary files /dev/null and b/output/sample/letter r_20230412180911_e000057_01.png differ diff --git a/output/sample/letter r_20230412180914_e000058_01.png b/output/sample/letter r_20230412180914_e000058_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f3e13b88d629eaa26b36200deaf73d2a7ca1a6f3 Binary files /dev/null and b/output/sample/letter r_20230412180914_e000058_01.png differ diff --git a/output/sample/letter r_20230412180917_e000059_01.png b/output/sample/letter r_20230412180917_e000059_01.png new file mode 100644 index 0000000000000000000000000000000000000000..53c2f4102ee7881edcf93a9db6ce8b69bbf1922c Binary files /dev/null and b/output/sample/letter r_20230412180917_e000059_01.png differ diff --git a/output/sample/letter r_20230412180920_e000060_01.png b/output/sample/letter r_20230412180920_e000060_01.png new file mode 100644 index 0000000000000000000000000000000000000000..6f3986aaae0c23f2721382e097d52af1f91a8e0a Binary files /dev/null and b/output/sample/letter r_20230412180920_e000060_01.png differ diff --git a/output/sample/letter r_20230412180923_e000061_01.png b/output/sample/letter r_20230412180923_e000061_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8a6b3ff18b769be1682ae8fda6dfe4bb98c355ca Binary files /dev/null and b/output/sample/letter r_20230412180923_e000061_01.png differ diff --git a/output/sample/letter r_20230412180926_e000062_01.png b/output/sample/letter r_20230412180926_e000062_01.png new file mode 100644 index 0000000000000000000000000000000000000000..571cc0aa5c6f9d14b00877fe5904f65379a11fc6 Binary files /dev/null and b/output/sample/letter r_20230412180926_e000062_01.png differ diff --git a/output/sample/letter r_20230412180929_e000063_01.png b/output/sample/letter r_20230412180929_e000063_01.png new file mode 100644 index 0000000000000000000000000000000000000000..917445bff9fa56dfa3dbea6ab4c5c007c1f438d2 Binary files /dev/null and b/output/sample/letter r_20230412180929_e000063_01.png differ diff --git a/output/sample/letter r_20230412180932_e000064_01.png b/output/sample/letter r_20230412180932_e000064_01.png new file mode 100644 index 0000000000000000000000000000000000000000..df905f094a7758753f61e70b0f6364d123fa99f5 Binary files /dev/null and b/output/sample/letter r_20230412180932_e000064_01.png differ diff --git a/output/sample/letter r_20230412180935_e000065_01.png b/output/sample/letter r_20230412180935_e000065_01.png new file mode 100644 index 0000000000000000000000000000000000000000..fa81c759f17d8aacd1c443c1481e7b55199a425a Binary files /dev/null and b/output/sample/letter r_20230412180935_e000065_01.png differ diff --git a/output/sample/letter r_20230412180938_e000066_01.png b/output/sample/letter r_20230412180938_e000066_01.png new file mode 100644 index 0000000000000000000000000000000000000000..5f55f6a9a068c615914cf4a869012f5130e08a29 Binary files /dev/null and b/output/sample/letter r_20230412180938_e000066_01.png differ diff --git a/output/sample/letter r_20230412180941_e000067_01.png b/output/sample/letter r_20230412180941_e000067_01.png new file mode 100644 index 0000000000000000000000000000000000000000..963958265b76132c5ebf198dda2013f1da157f08 Binary files /dev/null and b/output/sample/letter r_20230412180941_e000067_01.png differ diff --git a/output/sample/letter r_20230412180944_e000068_01.png b/output/sample/letter r_20230412180944_e000068_01.png new file mode 100644 index 0000000000000000000000000000000000000000..49c42c5d00b977b247e6526d45ab485404102678 Binary files /dev/null and b/output/sample/letter r_20230412180944_e000068_01.png differ diff --git a/output/sample/letter r_20230412180947_e000069_01.png b/output/sample/letter r_20230412180947_e000069_01.png new file mode 100644 index 0000000000000000000000000000000000000000..34d8a4e2da6e57ac0a8a70ef4aab444ad3879484 Binary files /dev/null and b/output/sample/letter r_20230412180947_e000069_01.png differ diff --git a/output/sample/letter r_20230412180950_e000070_01.png b/output/sample/letter r_20230412180950_e000070_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9268dc86e96e5579c595d31dba08dc4941779a74 Binary files /dev/null and b/output/sample/letter r_20230412180950_e000070_01.png differ diff --git a/output/sample/letter r_20230412180954_e000071_01.png b/output/sample/letter r_20230412180954_e000071_01.png new file mode 100644 index 0000000000000000000000000000000000000000..4201bdee1c585a1b123fa551f54b87dc87b891c4 Binary files /dev/null and b/output/sample/letter r_20230412180954_e000071_01.png differ diff --git a/output/sample/letter r_20230412180957_e000072_01.png b/output/sample/letter r_20230412180957_e000072_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3387cc596c8bfde661bd5bf038d7304ae085afc7 Binary files /dev/null and b/output/sample/letter r_20230412180957_e000072_01.png differ diff --git a/output/sample/letter r_20230412181000_e000073_01.png b/output/sample/letter r_20230412181000_e000073_01.png new file mode 100644 index 0000000000000000000000000000000000000000..686c12534033469e52d2a7ec401e93e05afda88e Binary files /dev/null and b/output/sample/letter r_20230412181000_e000073_01.png differ diff --git a/output/sample/letter r_20230412181003_e000074_01.png b/output/sample/letter r_20230412181003_e000074_01.png new file mode 100644 index 0000000000000000000000000000000000000000..2502c27e7cb96238dec72f176ab0d38608aeead1 Binary files /dev/null and b/output/sample/letter r_20230412181003_e000074_01.png differ diff --git a/output/sample/letter r_20230412181006_e000075_01.png b/output/sample/letter r_20230412181006_e000075_01.png new file mode 100644 index 0000000000000000000000000000000000000000..54204ee10919cc31831c5d71787072aa50ec4f05 Binary files /dev/null and b/output/sample/letter r_20230412181006_e000075_01.png differ diff --git a/output/sample/letter r_20230412181009_e000076_01.png b/output/sample/letter r_20230412181009_e000076_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3dcf8a53d444c5d4d3d19ea17978900e667feb44 Binary files /dev/null and b/output/sample/letter r_20230412181009_e000076_01.png differ diff --git a/output/sample/letter r_20230412181012_e000077_01.png b/output/sample/letter r_20230412181012_e000077_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3a76803acb0a060bf09dc0b4b43110e305c74a51 Binary files /dev/null and b/output/sample/letter r_20230412181012_e000077_01.png differ diff --git a/output/sample/letter r_20230412181015_e000078_01.png b/output/sample/letter r_20230412181015_e000078_01.png new file mode 100644 index 0000000000000000000000000000000000000000..cc9ebfff7e055b95b5583e7f0e9166711bb1070a Binary files /dev/null and b/output/sample/letter r_20230412181015_e000078_01.png differ diff --git a/output/sample/letter r_20230412181019_e000079_01.png b/output/sample/letter r_20230412181019_e000079_01.png new file mode 100644 index 0000000000000000000000000000000000000000..95f0e27eaafd00d60e2cd686f4705c625a2cf2a1 Binary files /dev/null and b/output/sample/letter r_20230412181019_e000079_01.png differ diff --git a/output/sample/letter r_20230412181022_e000080_01.png b/output/sample/letter r_20230412181022_e000080_01.png new file mode 100644 index 0000000000000000000000000000000000000000..2c271fe83630bb3cd7b632fc9d9c511ecf82286e Binary files /dev/null and b/output/sample/letter r_20230412181022_e000080_01.png differ diff --git a/output/sample/letter r_20230412181025_e000081_01.png b/output/sample/letter r_20230412181025_e000081_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c957806747b35625ab65688dd282835e17eda00b Binary files /dev/null and b/output/sample/letter r_20230412181025_e000081_01.png differ diff --git a/output/sample/letter r_20230412181028_e000082_01.png b/output/sample/letter r_20230412181028_e000082_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b27430a7c8c650ba73d4a1ea3673628d7951ee52 Binary files /dev/null and b/output/sample/letter r_20230412181028_e000082_01.png differ diff --git a/output/sample/letter r_20230412181031_e000083_01.png b/output/sample/letter r_20230412181031_e000083_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8d53d3d2ae4358ceadc9b2cf342d77526f9780e8 Binary files /dev/null and b/output/sample/letter r_20230412181031_e000083_01.png differ diff --git a/output/sample/letter r_20230412181034_e000084_01.png b/output/sample/letter r_20230412181034_e000084_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7018b1c945da96557775649c8fc27ef0b98f8595 Binary files /dev/null and b/output/sample/letter r_20230412181034_e000084_01.png differ diff --git a/output/sample/letter r_20230412181037_e000085_01.png b/output/sample/letter r_20230412181037_e000085_01.png new file mode 100644 index 0000000000000000000000000000000000000000..598d641bd7e5f997832fb14463ec445d899e9958 Binary files /dev/null and b/output/sample/letter r_20230412181037_e000085_01.png differ diff --git a/output/sample/letter r_20230412181040_e000086_01.png b/output/sample/letter r_20230412181040_e000086_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1c93097d7f35ddd5adede8a7edd2645455600685 Binary files /dev/null and b/output/sample/letter r_20230412181040_e000086_01.png differ diff --git a/output/sample/letter r_20230412181043_e000087_01.png b/output/sample/letter r_20230412181043_e000087_01.png new file mode 100644 index 0000000000000000000000000000000000000000..69cfe931a6298f1c433f09cc820591452616e1c7 Binary files /dev/null and b/output/sample/letter r_20230412181043_e000087_01.png differ diff --git a/output/sample/letter r_20230412181046_e000088_01.png b/output/sample/letter r_20230412181046_e000088_01.png new file mode 100644 index 0000000000000000000000000000000000000000..6f2df1c896ed85ad0b09eacf73a09b5c2815dbc4 Binary files /dev/null and b/output/sample/letter r_20230412181046_e000088_01.png differ diff --git a/output/sample/letter r_20230412181049_e000089_01.png b/output/sample/letter r_20230412181049_e000089_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9f897ab7ecf675afa4ace6e96165e6a9c4d112d5 Binary files /dev/null and b/output/sample/letter r_20230412181049_e000089_01.png differ diff --git a/output/sample/letter r_20230412181052_e000090_01.png b/output/sample/letter r_20230412181052_e000090_01.png new file mode 100644 index 0000000000000000000000000000000000000000..4b1e4f6433e463708dfc3e3e1c0911cf7a3aca5a Binary files /dev/null and b/output/sample/letter r_20230412181052_e000090_01.png differ diff --git a/output/sample/letter r_20230412181056_e000091_01.png b/output/sample/letter r_20230412181056_e000091_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e5f30c7c525e88410e29eb067242e346a8c5ea83 Binary files /dev/null and b/output/sample/letter r_20230412181056_e000091_01.png differ diff --git a/output/sample/letter r_20230412181059_e000092_01.png b/output/sample/letter r_20230412181059_e000092_01.png new file mode 100644 index 0000000000000000000000000000000000000000..0e84cfc6ceddf5c04569f2d87ef3b4267b6cf94a Binary files /dev/null and b/output/sample/letter r_20230412181059_e000092_01.png differ diff --git a/output/sample/letter r_20230412181102_e000093_01.png b/output/sample/letter r_20230412181102_e000093_01.png new file mode 100644 index 0000000000000000000000000000000000000000..81e842292628c40cc9da9c3f7a3cfaac63ee5d59 Binary files /dev/null and b/output/sample/letter r_20230412181102_e000093_01.png differ diff --git a/output/sample/letter r_20230412181105_e000094_01.png b/output/sample/letter r_20230412181105_e000094_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3b94ac74e1224b6ab2982bae8a08a4aa757ee567 Binary files /dev/null and b/output/sample/letter r_20230412181105_e000094_01.png differ diff --git a/output/sample/letter r_20230412181108_e000095_01.png b/output/sample/letter r_20230412181108_e000095_01.png new file mode 100644 index 0000000000000000000000000000000000000000..4ff89f5233263f16b8bba5ea37bba81c9edcb462 Binary files /dev/null and b/output/sample/letter r_20230412181108_e000095_01.png differ diff --git a/output/sample/letter r_20230412181111_e000096_01.png b/output/sample/letter r_20230412181111_e000096_01.png new file mode 100644 index 0000000000000000000000000000000000000000..4f0ebe5711002d1c157ecc48d2fa3451c6fcda06 Binary files /dev/null and b/output/sample/letter r_20230412181111_e000096_01.png differ diff --git a/output/sample/letter r_20230412181114_e000097_01.png b/output/sample/letter r_20230412181114_e000097_01.png new file mode 100644 index 0000000000000000000000000000000000000000..afeac27d797aef751e20207acc0b01bdad08a0b9 Binary files /dev/null and b/output/sample/letter r_20230412181114_e000097_01.png differ diff --git a/output/sample/letter r_20230412181117_e000098_01.png b/output/sample/letter r_20230412181117_e000098_01.png new file mode 100644 index 0000000000000000000000000000000000000000..d5c1105b67daefbdb112591cdab59b3a50dd5bf5 Binary files /dev/null and b/output/sample/letter r_20230412181117_e000098_01.png differ diff --git a/output/sample/letter r_20230412181120_e000099_01.png b/output/sample/letter r_20230412181120_e000099_01.png new file mode 100644 index 0000000000000000000000000000000000000000..a821ca544d35782efb20bdd5b23ab8aa6ae5f38c Binary files /dev/null and b/output/sample/letter r_20230412181120_e000099_01.png differ diff --git a/output/sample/letter r_20230412181123_e000100_01.png b/output/sample/letter r_20230412181123_e000100_01.png new file mode 100644 index 0000000000000000000000000000000000000000..14a2c963700ee2b4418237322d87224c0f818a37 Binary files /dev/null and b/output/sample/letter r_20230412181123_e000100_01.png differ diff --git a/output/sample/letter r_20230412181127_e000101_01.png b/output/sample/letter r_20230412181127_e000101_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f518607665b8c7bcae04573de01215d0e66aa3f4 Binary files /dev/null and b/output/sample/letter r_20230412181127_e000101_01.png differ diff --git a/output/sample/letter r_20230412181130_e000102_01.png b/output/sample/letter r_20230412181130_e000102_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ed45a0ed091c67c16f00d578b13173aded33e4c1 Binary files /dev/null and b/output/sample/letter r_20230412181130_e000102_01.png differ diff --git a/output/sample/letter r_20230412181133_e000103_01.png b/output/sample/letter r_20230412181133_e000103_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ce3f3eedcb8811763e163067b7741d0f1d4c4ffc Binary files /dev/null and b/output/sample/letter r_20230412181133_e000103_01.png differ diff --git a/output/sample/letter r_20230412181136_e000104_01.png b/output/sample/letter r_20230412181136_e000104_01.png new file mode 100644 index 0000000000000000000000000000000000000000..bfcf0a39a342dd84948db0725ba32141d86c6359 Binary files /dev/null and b/output/sample/letter r_20230412181136_e000104_01.png differ diff --git a/output/sample/letter r_20230412181139_e000105_01.png b/output/sample/letter r_20230412181139_e000105_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1f8b20973751cdccc5cbc5ca13ed4dcd9c8d9a58 Binary files /dev/null and b/output/sample/letter r_20230412181139_e000105_01.png differ diff --git a/output/sample/letter r_20230412181142_e000106_01.png b/output/sample/letter r_20230412181142_e000106_01.png new file mode 100644 index 0000000000000000000000000000000000000000..0cff4410d4f3563362b2c06d2f4237b51738d763 Binary files /dev/null and b/output/sample/letter r_20230412181142_e000106_01.png differ diff --git a/output/sample/letter r_20230412181145_e000107_01.png b/output/sample/letter r_20230412181145_e000107_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1883a80ebb23714d523a089afb9bbe132d9bd9f4 Binary files /dev/null and b/output/sample/letter r_20230412181145_e000107_01.png differ diff --git a/output/sample/letter r_20230412181148_e000108_01.png b/output/sample/letter r_20230412181148_e000108_01.png new file mode 100644 index 0000000000000000000000000000000000000000..97090d7416fa2add2f93641487fe0114463d795c Binary files /dev/null and b/output/sample/letter r_20230412181148_e000108_01.png differ diff --git a/output/sample/letter r_20230412181151_e000109_01.png b/output/sample/letter r_20230412181151_e000109_01.png new file mode 100644 index 0000000000000000000000000000000000000000..31d9605076da6717327cd86a9a1892762ed56342 Binary files /dev/null and b/output/sample/letter r_20230412181151_e000109_01.png differ diff --git a/output/sample/letter r_20230412181154_e000110_01.png b/output/sample/letter r_20230412181154_e000110_01.png new file mode 100644 index 0000000000000000000000000000000000000000..a4c14a86fdca4610726f85212f8789840ddfac99 Binary files /dev/null and b/output/sample/letter r_20230412181154_e000110_01.png differ diff --git a/output/sample/letter r_20230412181157_e000111_01.png b/output/sample/letter r_20230412181157_e000111_01.png new file mode 100644 index 0000000000000000000000000000000000000000..52e9c4e7fcaafc9acb47f0f96c30a9a2c52ed0c9 Binary files /dev/null and b/output/sample/letter r_20230412181157_e000111_01.png differ diff --git a/output/sample/letter r_20230412181200_e000112_01.png b/output/sample/letter r_20230412181200_e000112_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f5024d593ecb2d1da9e8b8849853721bd8453bc6 Binary files /dev/null and b/output/sample/letter r_20230412181200_e000112_01.png differ diff --git a/output/sample/letter r_20230412181203_e000113_01.png b/output/sample/letter r_20230412181203_e000113_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1c29f766b1188219108eaca6d0e4dcf7bd3ae72b Binary files /dev/null and b/output/sample/letter r_20230412181203_e000113_01.png differ diff --git a/output/sample/letter r_20230412181206_e000114_01.png b/output/sample/letter r_20230412181206_e000114_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8479afc118272e031822e0cef82e688ee4a8c61c Binary files /dev/null and b/output/sample/letter r_20230412181206_e000114_01.png differ diff --git a/output/sample/letter r_20230412181209_e000115_01.png b/output/sample/letter r_20230412181209_e000115_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ee2b70ee15f448f9dbdb416a3f28ce2b36a50c1d Binary files /dev/null and b/output/sample/letter r_20230412181209_e000115_01.png differ diff --git a/output/sample/letter r_20230412181213_e000116_01.png b/output/sample/letter r_20230412181213_e000116_01.png new file mode 100644 index 0000000000000000000000000000000000000000..608c60831233dd825a0dea4dceaa90d442dcde6e Binary files /dev/null and b/output/sample/letter r_20230412181213_e000116_01.png differ diff --git a/output/sample/letter r_20230412181216_e000117_01.png b/output/sample/letter r_20230412181216_e000117_01.png new file mode 100644 index 0000000000000000000000000000000000000000..818e50fdc2a38db2bf0d1bd98b960bd25c0223fa Binary files /dev/null and b/output/sample/letter r_20230412181216_e000117_01.png differ diff --git a/output/sample/letter r_20230412181219_e000118_01.png b/output/sample/letter r_20230412181219_e000118_01.png new file mode 100644 index 0000000000000000000000000000000000000000..fc27d1d444a9b68064683e3c42d7f878823c37f2 Binary files /dev/null and b/output/sample/letter r_20230412181219_e000118_01.png differ diff --git a/output/sample/letter r_20230412181222_e000119_01.png b/output/sample/letter r_20230412181222_e000119_01.png new file mode 100644 index 0000000000000000000000000000000000000000..acbcee755c7d41df48ed27b2ca8af3e355ea0a60 Binary files /dev/null and b/output/sample/letter r_20230412181222_e000119_01.png differ diff --git a/output/sample/letter r_20230412181225_e000120_01.png b/output/sample/letter r_20230412181225_e000120_01.png new file mode 100644 index 0000000000000000000000000000000000000000..2e6c1f5263af3c5346c6cca7cf018b2277db639e Binary files /dev/null and b/output/sample/letter r_20230412181225_e000120_01.png differ diff --git a/output/sample/letter r_20230412181228_e000121_01.png b/output/sample/letter r_20230412181228_e000121_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8b6e392a0d593d8c0e628c5e06dd368641358ff4 Binary files /dev/null and b/output/sample/letter r_20230412181228_e000121_01.png differ diff --git a/output/sample/letter r_20230412181231_e000122_01.png b/output/sample/letter r_20230412181231_e000122_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3d984fe66d6b9c621bf937ebea7d1a64581effce Binary files /dev/null and b/output/sample/letter r_20230412181231_e000122_01.png differ diff --git a/output/sample/letter r_20230412181234_e000123_01.png b/output/sample/letter r_20230412181234_e000123_01.png new file mode 100644 index 0000000000000000000000000000000000000000..37fd192536ccf6821a3a3a18290b0c81f982b988 Binary files /dev/null and b/output/sample/letter r_20230412181234_e000123_01.png differ diff --git a/output/sample/letter r_20230412181237_e000124_01.png b/output/sample/letter r_20230412181237_e000124_01.png new file mode 100644 index 0000000000000000000000000000000000000000..93c332529e940d34772f248981f416d870fea1a0 Binary files /dev/null and b/output/sample/letter r_20230412181237_e000124_01.png differ diff --git a/output/sample/letter r_20230412181240_e000125_01.png b/output/sample/letter r_20230412181240_e000125_01.png new file mode 100644 index 0000000000000000000000000000000000000000..25f72662bc9b6630758406e5274cbb3530e9c26d Binary files /dev/null and b/output/sample/letter r_20230412181240_e000125_01.png differ diff --git a/output/sample/letter r_20230412181243_e000126_01.png b/output/sample/letter r_20230412181243_e000126_01.png new file mode 100644 index 0000000000000000000000000000000000000000..84b95911019908ae44860d3206caf38fefa2a55d Binary files /dev/null and b/output/sample/letter r_20230412181243_e000126_01.png differ diff --git a/output/sample/letter r_20230412181247_e000127_01.png b/output/sample/letter r_20230412181247_e000127_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f3fb93cf22305448922ea78f1c2ef7e0096655be Binary files /dev/null and b/output/sample/letter r_20230412181247_e000127_01.png differ diff --git a/output/sample/letter r_20230412181250_e000128_01.png b/output/sample/letter r_20230412181250_e000128_01.png new file mode 100644 index 0000000000000000000000000000000000000000..76aeeaba85a82034070700eb995a7a31ee4b103f Binary files /dev/null and b/output/sample/letter r_20230412181250_e000128_01.png differ diff --git a/output/sample/letter r_20230412181253_e000129_01.png b/output/sample/letter r_20230412181253_e000129_01.png new file mode 100644 index 0000000000000000000000000000000000000000..5283ee7d517050dfecf6d26293777c68ece1d7ae Binary files /dev/null and b/output/sample/letter r_20230412181253_e000129_01.png differ diff --git a/output/sample/letter r_20230412181256_e000130_01.png b/output/sample/letter r_20230412181256_e000130_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9f80d2dc2013298fb20eeeb404075fe6f7c7127d Binary files /dev/null and b/output/sample/letter r_20230412181256_e000130_01.png differ diff --git a/output/sample/letter r_20230412181259_e000131_01.png b/output/sample/letter r_20230412181259_e000131_01.png new file mode 100644 index 0000000000000000000000000000000000000000..6fe7a7931ce7f34eb5444391b02295fbfd05f458 Binary files /dev/null and b/output/sample/letter r_20230412181259_e000131_01.png differ diff --git a/output/sample/letter r_20230412181302_e000132_01.png b/output/sample/letter r_20230412181302_e000132_01.png new file mode 100644 index 0000000000000000000000000000000000000000..5f9c5f8bf5239fef3e59e84bd73ba09bca079cd7 Binary files /dev/null and b/output/sample/letter r_20230412181302_e000132_01.png differ diff --git a/output/sample/letter r_20230412181305_e000133_01.png b/output/sample/letter r_20230412181305_e000133_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8a7e57b19a0ee0c596f449f4cef8619ba7c99687 Binary files /dev/null and b/output/sample/letter r_20230412181305_e000133_01.png differ diff --git a/output/sample/letter r_20230412181308_e000134_01.png b/output/sample/letter r_20230412181308_e000134_01.png new file mode 100644 index 0000000000000000000000000000000000000000..6a08c25d61c3714bd04f082da8b24cc7713e9ecf Binary files /dev/null and b/output/sample/letter r_20230412181308_e000134_01.png differ diff --git a/output/sample/letter r_20230412181311_e000135_01.png b/output/sample/letter r_20230412181311_e000135_01.png new file mode 100644 index 0000000000000000000000000000000000000000..56c88f7200c1b10908d5fcc88f62f70ecfb2463b Binary files /dev/null and b/output/sample/letter r_20230412181311_e000135_01.png differ diff --git a/output/sample/letter r_20230412181314_e000136_01.png b/output/sample/letter r_20230412181314_e000136_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b14b6f92af5f5401abe83ca8279eef895e4a7671 Binary files /dev/null and b/output/sample/letter r_20230412181314_e000136_01.png differ diff --git a/output/sample/letter r_20230412181317_e000137_01.png b/output/sample/letter r_20230412181317_e000137_01.png new file mode 100644 index 0000000000000000000000000000000000000000..edb71aba9b0785a15465839185d5bc66d48477d4 Binary files /dev/null and b/output/sample/letter r_20230412181317_e000137_01.png differ diff --git a/output/sample/letter r_20230412181320_e000138_01.png b/output/sample/letter r_20230412181320_e000138_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c366219d5fcce29b8c5fd811a2d86d9ba9d83fd5 Binary files /dev/null and b/output/sample/letter r_20230412181320_e000138_01.png differ diff --git a/output/sample/letter r_20230412181323_e000139_01.png b/output/sample/letter r_20230412181323_e000139_01.png new file mode 100644 index 0000000000000000000000000000000000000000..dad385b6b583891c047d46da0b3742f5c610e7bb Binary files /dev/null and b/output/sample/letter r_20230412181323_e000139_01.png differ diff --git a/output/sample/letter r_20230412181326_e000140_01.png b/output/sample/letter r_20230412181326_e000140_01.png new file mode 100644 index 0000000000000000000000000000000000000000..5e9d675038ea74eaaecc333c2daf654e46e9e805 Binary files /dev/null and b/output/sample/letter r_20230412181326_e000140_01.png differ diff --git a/output/sample/letter r_20230412181330_e000141_01.png b/output/sample/letter r_20230412181330_e000141_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3d55edf3c9a9a1fc74059d8584f693b04bcc92e1 Binary files /dev/null and b/output/sample/letter r_20230412181330_e000141_01.png differ diff --git a/output/sample/letter r_20230412181333_e000142_01.png b/output/sample/letter r_20230412181333_e000142_01.png new file mode 100644 index 0000000000000000000000000000000000000000..eab8e825b3d423117f7719960e8dcc1b3daf3dad Binary files /dev/null and b/output/sample/letter r_20230412181333_e000142_01.png differ diff --git a/output/sample/letter r_20230412181336_e000143_01.png b/output/sample/letter r_20230412181336_e000143_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c76dd3ef362f6089e7ed7af7f9a2262e55dcaee6 Binary files /dev/null and b/output/sample/letter r_20230412181336_e000143_01.png differ diff --git a/output/sample/letter r_20230412181339_e000144_01.png b/output/sample/letter r_20230412181339_e000144_01.png new file mode 100644 index 0000000000000000000000000000000000000000..40be095ce491e0189244e7c5f65c2ffcde855537 Binary files /dev/null and b/output/sample/letter r_20230412181339_e000144_01.png differ diff --git a/output/sample/letter r_20230412181342_e000145_01.png b/output/sample/letter r_20230412181342_e000145_01.png new file mode 100644 index 0000000000000000000000000000000000000000..fd6d0081ec782db62352ff2ca622508d5ac23145 Binary files /dev/null and b/output/sample/letter r_20230412181342_e000145_01.png differ diff --git a/output/sample/letter r_20230412181345_e000146_01.png b/output/sample/letter r_20230412181345_e000146_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c2f0f553d6b2f577f7c53e8b2457f09dcf0bb272 Binary files /dev/null and b/output/sample/letter r_20230412181345_e000146_01.png differ diff --git a/output/sample/letter r_20230412181348_e000147_01.png b/output/sample/letter r_20230412181348_e000147_01.png new file mode 100644 index 0000000000000000000000000000000000000000..d9bfe166cc1fa73582ab2d486c9a9a4a1b2d64f9 Binary files /dev/null and b/output/sample/letter r_20230412181348_e000147_01.png differ diff --git a/output/sample/letter r_20230412181351_e000148_01.png b/output/sample/letter r_20230412181351_e000148_01.png new file mode 100644 index 0000000000000000000000000000000000000000..571ee62960c714e544bdb434c057d7f90d0895a5 Binary files /dev/null and b/output/sample/letter r_20230412181351_e000148_01.png differ diff --git a/output/sample/letter r_20230412181354_e000149_01.png b/output/sample/letter r_20230412181354_e000149_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c98439894ba3ad1138923382a5a69aaa87d5bb08 Binary files /dev/null and b/output/sample/letter r_20230412181354_e000149_01.png differ diff --git a/output/sample/letter r_20230412181357_e000150_01.png b/output/sample/letter r_20230412181357_e000150_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b2d19a7db5504bed8e6787bbb99b2e423730f02c Binary files /dev/null and b/output/sample/letter r_20230412181357_e000150_01.png differ diff --git a/output/sample/letter r_20230412181401_e000151_01.png b/output/sample/letter r_20230412181401_e000151_01.png new file mode 100644 index 0000000000000000000000000000000000000000..872742a1e9b3a4639e33d1f432827b60160b61ed Binary files /dev/null and b/output/sample/letter r_20230412181401_e000151_01.png differ diff --git a/output/sample/letter r_20230412181404_e000152_01.png b/output/sample/letter r_20230412181404_e000152_01.png new file mode 100644 index 0000000000000000000000000000000000000000..bccccf8c5dc52aef3f1e57ad5ffcbdf31a04a02e Binary files /dev/null and b/output/sample/letter r_20230412181404_e000152_01.png differ diff --git a/output/sample/letter r_20230412181407_e000153_01.png b/output/sample/letter r_20230412181407_e000153_01.png new file mode 100644 index 0000000000000000000000000000000000000000..57fdbaf52a24aa62f4908bb062252a9db615a868 Binary files /dev/null and b/output/sample/letter r_20230412181407_e000153_01.png differ diff --git a/output/sample/letter r_20230412181410_e000154_01.png b/output/sample/letter r_20230412181410_e000154_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8e6b2230f9e040c3497a4add54796a32c66e97c6 Binary files /dev/null and b/output/sample/letter r_20230412181410_e000154_01.png differ diff --git a/output/sample/letter r_20230412181413_e000155_01.png b/output/sample/letter r_20230412181413_e000155_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b4b15ed494e86a8b4428c67439c4b346fd533258 Binary files /dev/null and b/output/sample/letter r_20230412181413_e000155_01.png differ diff --git a/output/sample/letter r_20230412181416_e000156_01.png b/output/sample/letter r_20230412181416_e000156_01.png new file mode 100644 index 0000000000000000000000000000000000000000..35360dde7da13fdb901f96e8975756aae9e2e421 Binary files /dev/null and b/output/sample/letter r_20230412181416_e000156_01.png differ diff --git a/output/sample/letter r_20230412181419_e000157_01.png b/output/sample/letter r_20230412181419_e000157_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9212904cd00c457b7cf6e1d5d50d0ee2fd3911b0 Binary files /dev/null and b/output/sample/letter r_20230412181419_e000157_01.png differ diff --git a/output/sample/letter r_20230412181422_e000158_01.png b/output/sample/letter r_20230412181422_e000158_01.png new file mode 100644 index 0000000000000000000000000000000000000000..aaa9e924596f288bb29e97ecbe108006507e765d Binary files /dev/null and b/output/sample/letter r_20230412181422_e000158_01.png differ diff --git a/output/sample/letter r_20230412181425_e000159_01.png b/output/sample/letter r_20230412181425_e000159_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7e92f5626061fd5c5235bc863b6f8f1a812ffc37 Binary files /dev/null and b/output/sample/letter r_20230412181425_e000159_01.png differ diff --git a/output/sample/letter r_20230412181428_e000160_01.png b/output/sample/letter r_20230412181428_e000160_01.png new file mode 100644 index 0000000000000000000000000000000000000000..91417157e674e24fb6bcde695574d747eb632720 Binary files /dev/null and b/output/sample/letter r_20230412181428_e000160_01.png differ diff --git a/output/sample/letter r_20230412181431_e000161_01.png b/output/sample/letter r_20230412181431_e000161_01.png new file mode 100644 index 0000000000000000000000000000000000000000..58c8d83ebaed6299e4c70e97965bd905a06f5a87 Binary files /dev/null and b/output/sample/letter r_20230412181431_e000161_01.png differ diff --git a/output/sample/letter r_20230412181434_e000162_01.png b/output/sample/letter r_20230412181434_e000162_01.png new file mode 100644 index 0000000000000000000000000000000000000000..415f9a32e60fed7a74cf8a5806235e7b35454b1a Binary files /dev/null and b/output/sample/letter r_20230412181434_e000162_01.png differ diff --git a/output/sample/letter r_20230412181438_e000163_01.png b/output/sample/letter r_20230412181438_e000163_01.png new file mode 100644 index 0000000000000000000000000000000000000000..73fb4ca3d90f3059b88052a1f372115bf43db046 Binary files /dev/null and b/output/sample/letter r_20230412181438_e000163_01.png differ diff --git a/output/sample/letter r_20230412181441_e000164_01.png b/output/sample/letter r_20230412181441_e000164_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c8505610124a8275a0d9f2250a8dbc154c9a9615 Binary files /dev/null and b/output/sample/letter r_20230412181441_e000164_01.png differ diff --git a/output/sample/letter r_20230412181444_e000165_01.png b/output/sample/letter r_20230412181444_e000165_01.png new file mode 100644 index 0000000000000000000000000000000000000000..41b5756b37d06c7edab97a46dc602db577834b9b Binary files /dev/null and b/output/sample/letter r_20230412181444_e000165_01.png differ diff --git a/output/sample/letter r_20230412181447_e000166_01.png b/output/sample/letter r_20230412181447_e000166_01.png new file mode 100644 index 0000000000000000000000000000000000000000..0d446b7e989264b2a4daeed100e17045cc197f54 Binary files /dev/null and b/output/sample/letter r_20230412181447_e000166_01.png differ diff --git a/output/sample/letter r_20230412181450_e000167_01.png b/output/sample/letter r_20230412181450_e000167_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f9bc019fa8aca9be48dfd397401724eda34f4804 Binary files /dev/null and b/output/sample/letter r_20230412181450_e000167_01.png differ diff --git a/output/sample/letter r_20230412181453_e000168_01.png b/output/sample/letter r_20230412181453_e000168_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9ce982588cac22639ac684c4325cbb50717768a4 Binary files /dev/null and b/output/sample/letter r_20230412181453_e000168_01.png differ diff --git a/output/sample/letter r_20230412181456_e000169_01.png b/output/sample/letter r_20230412181456_e000169_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c91ce2ce3a2b639a8ab01733cd389d4bb1c78951 Binary files /dev/null and b/output/sample/letter r_20230412181456_e000169_01.png differ diff --git a/output/sample/letter r_20230412181459_e000170_01.png b/output/sample/letter r_20230412181459_e000170_01.png new file mode 100644 index 0000000000000000000000000000000000000000..45c4d7663b1058fba68cbbd243bb6c1adab0a4f8 Binary files /dev/null and b/output/sample/letter r_20230412181459_e000170_01.png differ diff --git a/output/sample/letter r_20230412181502_e000171_01.png b/output/sample/letter r_20230412181502_e000171_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8fb988a02ff909082fcacca934917a743f74068d Binary files /dev/null and b/output/sample/letter r_20230412181502_e000171_01.png differ diff --git a/output/sample/letter r_20230412181505_e000172_01.png b/output/sample/letter r_20230412181505_e000172_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e491b768e2c60d5ccdb22defb7810d5d61bde679 Binary files /dev/null and b/output/sample/letter r_20230412181505_e000172_01.png differ diff --git a/output/sample/letter r_20230412181508_e000173_01.png b/output/sample/letter r_20230412181508_e000173_01.png new file mode 100644 index 0000000000000000000000000000000000000000..39cdab9a3b2dbe4d02cb62b45f421e4b56a8cb3e Binary files /dev/null and b/output/sample/letter r_20230412181508_e000173_01.png differ diff --git a/output/sample/letter r_20230412181511_e000174_01.png b/output/sample/letter r_20230412181511_e000174_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c9f77e91b60f6f6bf9939c6a6c5eeb76ef060296 Binary files /dev/null and b/output/sample/letter r_20230412181511_e000174_01.png differ diff --git a/output/sample/letter r_20230412181515_e000175_01.png b/output/sample/letter r_20230412181515_e000175_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7aa0ae3b76df94bf81d4afeb1c385f26ef124088 Binary files /dev/null and b/output/sample/letter r_20230412181515_e000175_01.png differ diff --git a/output/sample/letter r_20230412181518_e000176_01.png b/output/sample/letter r_20230412181518_e000176_01.png new file mode 100644 index 0000000000000000000000000000000000000000..773a89e9e2fb02919b2e67a625f6cc9f751c5fb1 Binary files /dev/null and b/output/sample/letter r_20230412181518_e000176_01.png differ diff --git a/output/sample/letter r_20230412181521_e000177_01.png b/output/sample/letter r_20230412181521_e000177_01.png new file mode 100644 index 0000000000000000000000000000000000000000..6032f4a6cd74d5e808c9b00cb7a76350d31d4236 Binary files /dev/null and b/output/sample/letter r_20230412181521_e000177_01.png differ diff --git a/output/sample/letter r_20230412181524_e000178_01.png b/output/sample/letter r_20230412181524_e000178_01.png new file mode 100644 index 0000000000000000000000000000000000000000..714afc5f6d9ca099a36ed107f597227cd0124fc6 Binary files /dev/null and b/output/sample/letter r_20230412181524_e000178_01.png differ diff --git a/output/sample/letter r_20230412181527_e000179_01.png b/output/sample/letter r_20230412181527_e000179_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1d7af426d36d3e3bfe655dedc3dcc34f38f1da6f Binary files /dev/null and b/output/sample/letter r_20230412181527_e000179_01.png differ diff --git a/output/sample/letter r_20230412181530_e000180_01.png b/output/sample/letter r_20230412181530_e000180_01.png new file mode 100644 index 0000000000000000000000000000000000000000..2eef2d6e69d4089a415ad5c9fd32bb23bbbaea0e Binary files /dev/null and b/output/sample/letter r_20230412181530_e000180_01.png differ diff --git a/output/sample/letter r_20230412181533_e000181_01.png b/output/sample/letter r_20230412181533_e000181_01.png new file mode 100644 index 0000000000000000000000000000000000000000..405afe3e5db6514d77387adc4cd7e75beb489387 Binary files /dev/null and b/output/sample/letter r_20230412181533_e000181_01.png differ diff --git a/output/sample/letter r_20230412181536_e000182_01.png b/output/sample/letter r_20230412181536_e000182_01.png new file mode 100644 index 0000000000000000000000000000000000000000..181cb51387c06156716909d0ddc7ae7772edebae Binary files /dev/null and b/output/sample/letter r_20230412181536_e000182_01.png differ diff --git a/output/sample/letter r_20230412181539_e000183_01.png b/output/sample/letter r_20230412181539_e000183_01.png new file mode 100644 index 0000000000000000000000000000000000000000..182b07b5070b62205d4b4747a3e2092d4f9ba95f Binary files /dev/null and b/output/sample/letter r_20230412181539_e000183_01.png differ diff --git a/output/sample/letter r_20230412181542_e000184_01.png b/output/sample/letter r_20230412181542_e000184_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f7a2663e01a75af0ac885e66ac480121d21b9e8a Binary files /dev/null and b/output/sample/letter r_20230412181542_e000184_01.png differ diff --git a/output/sample/letter r_20230412181545_e000185_01.png b/output/sample/letter r_20230412181545_e000185_01.png new file mode 100644 index 0000000000000000000000000000000000000000..cd9235920f9e9c7530cd5ee7d91222f0f0ecdc56 Binary files /dev/null and b/output/sample/letter r_20230412181545_e000185_01.png differ diff --git a/output/sample/letter r_20230412181548_e000186_01.png b/output/sample/letter r_20230412181548_e000186_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e2a5fe0ff793d4055a78e94cf2ee94e18ae65d1d Binary files /dev/null and b/output/sample/letter r_20230412181548_e000186_01.png differ diff --git a/output/sample/letter r_20230412181552_e000187_01.png b/output/sample/letter r_20230412181552_e000187_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e577e6035cb726831ff319cd3f74d33a74e538e1 Binary files /dev/null and b/output/sample/letter r_20230412181552_e000187_01.png differ diff --git a/output/sample/letter r_20230412181555_e000188_01.png b/output/sample/letter r_20230412181555_e000188_01.png new file mode 100644 index 0000000000000000000000000000000000000000..fbf39a871a2dbf367d40eac6841becb506412348 Binary files /dev/null and b/output/sample/letter r_20230412181555_e000188_01.png differ diff --git a/output/sample/letter r_20230412181558_e000189_01.png b/output/sample/letter r_20230412181558_e000189_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c3556ff9267b92f422f4c320532cfa39eeb5ad5e Binary files /dev/null and b/output/sample/letter r_20230412181558_e000189_01.png differ diff --git a/output/sample/letter r_20230412181601_e000190_01.png b/output/sample/letter r_20230412181601_e000190_01.png new file mode 100644 index 0000000000000000000000000000000000000000..601fa5e8a24c69c60b59dfddcdfb8a1ef9d89b73 Binary files /dev/null and b/output/sample/letter r_20230412181601_e000190_01.png differ diff --git a/output/sample/letter r_20230412181604_e000191_01.png b/output/sample/letter r_20230412181604_e000191_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e8ccbeada582460ad2f086d803e960b76c905e30 Binary files /dev/null and b/output/sample/letter r_20230412181604_e000191_01.png differ diff --git a/output/sample/letter r_20230412181607_e000192_01.png b/output/sample/letter r_20230412181607_e000192_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1100c0332713df092f44a588911e4bb3c599dd62 Binary files /dev/null and b/output/sample/letter r_20230412181607_e000192_01.png differ diff --git a/output/sample/letter r_20230412181610_e000193_01.png b/output/sample/letter r_20230412181610_e000193_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c2902328e1d24f779e2ee594193a65e6adc149ff Binary files /dev/null and b/output/sample/letter r_20230412181610_e000193_01.png differ diff --git a/output/sample/letter r_20230412181613_e000194_01.png b/output/sample/letter r_20230412181613_e000194_01.png new file mode 100644 index 0000000000000000000000000000000000000000..897fb6f7a3c8c7c35e2d54c3c68258889c159696 Binary files /dev/null and b/output/sample/letter r_20230412181613_e000194_01.png differ diff --git a/output/sample/letter r_20230412181616_e000195_01.png b/output/sample/letter r_20230412181616_e000195_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f93f1b4eb4ae84ea9f5d78e553bdcb22247cbbe5 Binary files /dev/null and b/output/sample/letter r_20230412181616_e000195_01.png differ diff --git a/output/sample/letter r_20230412181619_e000196_01.png b/output/sample/letter r_20230412181619_e000196_01.png new file mode 100644 index 0000000000000000000000000000000000000000..15f251bf7430c2acb7ccabc8bfd259d1dbf2e951 Binary files /dev/null and b/output/sample/letter r_20230412181619_e000196_01.png differ diff --git a/output/sample/letter r_20230412181622_e000197_01.png b/output/sample/letter r_20230412181622_e000197_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7f6494763627b7c51300920b6a814d6846e7bfe9 Binary files /dev/null and b/output/sample/letter r_20230412181622_e000197_01.png differ diff --git a/output/sample/letter r_20230412181625_e000198_01.png b/output/sample/letter r_20230412181625_e000198_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9e11c12ff80f589620061ca568f6749d9b684548 Binary files /dev/null and b/output/sample/letter r_20230412181625_e000198_01.png differ diff --git a/output/sample/letter r_20230412181628_e000199_01.png b/output/sample/letter r_20230412181628_e000199_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8dc524fd2874452be53b1085ffa727bd67029fdd Binary files /dev/null and b/output/sample/letter r_20230412181628_e000199_01.png differ diff --git a/output/sample/letter r_20230412181632_e000200_01.png b/output/sample/letter r_20230412181632_e000200_01.png new file mode 100644 index 0000000000000000000000000000000000000000..acbcee755c7d41df48ed27b2ca8af3e355ea0a60 Binary files /dev/null and b/output/sample/letter r_20230412181632_e000200_01.png differ diff --git a/output/sample/letter r_20230412181635_e000201_01.png b/output/sample/letter r_20230412181635_e000201_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8f94812dfe3cd3684ddff705fdad91bcbcd9f6e5 Binary files /dev/null and b/output/sample/letter r_20230412181635_e000201_01.png differ diff --git a/output/sample/letter r_20230412181638_e000202_01.png b/output/sample/letter r_20230412181638_e000202_01.png new file mode 100644 index 0000000000000000000000000000000000000000..acbcee755c7d41df48ed27b2ca8af3e355ea0a60 Binary files /dev/null and b/output/sample/letter r_20230412181638_e000202_01.png differ diff --git a/output/sample/letter r_20230412181641_e000203_01.png b/output/sample/letter r_20230412181641_e000203_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c5c6093c7824d7e392c616d1ab52aa7564920671 Binary files /dev/null and b/output/sample/letter r_20230412181641_e000203_01.png differ diff --git a/output/sample/letter r_20230412181644_e000204_01.png b/output/sample/letter r_20230412181644_e000204_01.png new file mode 100644 index 0000000000000000000000000000000000000000..70f4d67f0e4aa9f167f087c0d5a35351c1f411dc Binary files /dev/null and b/output/sample/letter r_20230412181644_e000204_01.png differ diff --git a/output/sample/letter r_20230412181647_e000205_01.png b/output/sample/letter r_20230412181647_e000205_01.png new file mode 100644 index 0000000000000000000000000000000000000000..a729123986b7795c873db495ae5420cb457269c1 Binary files /dev/null and b/output/sample/letter r_20230412181647_e000205_01.png differ diff --git a/output/sample/letter r_20230412181650_e000206_01.png b/output/sample/letter r_20230412181650_e000206_01.png new file mode 100644 index 0000000000000000000000000000000000000000..acbcee755c7d41df48ed27b2ca8af3e355ea0a60 Binary files /dev/null and b/output/sample/letter r_20230412181650_e000206_01.png differ diff --git a/output/sample/letter r_20230412181653_e000207_01.png b/output/sample/letter r_20230412181653_e000207_01.png new file mode 100644 index 0000000000000000000000000000000000000000..748f413510548415abc86502fbe81a16f6df7e89 Binary files /dev/null and b/output/sample/letter r_20230412181653_e000207_01.png differ diff --git a/output/sample/letter r_20230412181656_e000208_01.png b/output/sample/letter r_20230412181656_e000208_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b13cb9d6f5be0632ea0b4ec3c4b6a81ebd125ba3 Binary files /dev/null and b/output/sample/letter r_20230412181656_e000208_01.png differ diff --git a/output/sample/letter r_20230412181659_e000209_01.png b/output/sample/letter r_20230412181659_e000209_01.png new file mode 100644 index 0000000000000000000000000000000000000000..feb1c16319f2323efa19c5faa6ad6ec83c9b6dcd Binary files /dev/null and b/output/sample/letter r_20230412181659_e000209_01.png differ diff --git a/output/sample/letter r_20230412181702_e000210_01.png b/output/sample/letter r_20230412181702_e000210_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f49cac1069266781f92bb37ac80b4339708c5b52 Binary files /dev/null and b/output/sample/letter r_20230412181702_e000210_01.png differ diff --git a/output/sample/letter r_20230412181705_e000211_01.png b/output/sample/letter r_20230412181705_e000211_01.png new file mode 100644 index 0000000000000000000000000000000000000000..815b32e16d2b4da526c744f340fc2cfe9abcd712 Binary files /dev/null and b/output/sample/letter r_20230412181705_e000211_01.png differ diff --git a/output/sample/letter r_20230412181708_e000212_01.png b/output/sample/letter r_20230412181708_e000212_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8a94ca5129514439abebc84f08aabeb0d705be9a Binary files /dev/null and b/output/sample/letter r_20230412181708_e000212_01.png differ diff --git a/output/sample/letter r_20230412181711_e000213_01.png b/output/sample/letter r_20230412181711_e000213_01.png new file mode 100644 index 0000000000000000000000000000000000000000..94f4f0a23050d57f8c2453931f20a4f027af2c75 Binary files /dev/null and b/output/sample/letter r_20230412181711_e000213_01.png differ diff --git a/output/sample/letter r_20230412181714_e000214_01.png b/output/sample/letter r_20230412181714_e000214_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7e7876e4b8b33840235f30627fc28cb793c20dcc Binary files /dev/null and b/output/sample/letter r_20230412181714_e000214_01.png differ diff --git a/output/sample/letter r_20230412181717_e000215_01.png b/output/sample/letter r_20230412181717_e000215_01.png new file mode 100644 index 0000000000000000000000000000000000000000..261829f0e1cc447a1f2e634f884a9365af136907 Binary files /dev/null and b/output/sample/letter r_20230412181717_e000215_01.png differ diff --git a/output/sample/letter r_20230412181720_e000216_01.png b/output/sample/letter r_20230412181720_e000216_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f28a5906e49ec0984afced9b458580cbc3e1ab15 Binary files /dev/null and b/output/sample/letter r_20230412181720_e000216_01.png differ diff --git a/output/sample/letter r_20230412181723_e000217_01.png b/output/sample/letter r_20230412181723_e000217_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3efcf3930ce4c95e26d86e8986b7a36fb80b5a83 Binary files /dev/null and b/output/sample/letter r_20230412181723_e000217_01.png differ diff --git a/output/sample/letter r_20230412181726_e000218_01.png b/output/sample/letter r_20230412181726_e000218_01.png new file mode 100644 index 0000000000000000000000000000000000000000..805c5ff51a221c1a108f579d6567a9930ae8a467 Binary files /dev/null and b/output/sample/letter r_20230412181726_e000218_01.png differ diff --git a/output/sample/letter r_20230412181729_e000219_01.png b/output/sample/letter r_20230412181729_e000219_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f28446fa3b557ae16c77e2adf8fc47f1d6c7bf3f Binary files /dev/null and b/output/sample/letter r_20230412181729_e000219_01.png differ diff --git a/output/sample/letter r_20230412181732_e000220_01.png b/output/sample/letter r_20230412181732_e000220_01.png new file mode 100644 index 0000000000000000000000000000000000000000..44fdc136db38ade2d9e0303aac2d984ce201c890 Binary files /dev/null and b/output/sample/letter r_20230412181732_e000220_01.png differ diff --git a/output/sample/letter r_20230412181735_e000221_01.png b/output/sample/letter r_20230412181735_e000221_01.png new file mode 100644 index 0000000000000000000000000000000000000000..93620f80a75f54d9bdf0129d7540e5d7ee6e6d38 Binary files /dev/null and b/output/sample/letter r_20230412181735_e000221_01.png differ diff --git a/output/sample/letter r_20230412181738_e000222_01.png b/output/sample/letter r_20230412181738_e000222_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8827b2a458a7733f449a181dc9ec8be98a7d7208 Binary files /dev/null and b/output/sample/letter r_20230412181738_e000222_01.png differ diff --git a/output/sample/letter r_20230412181741_e000223_01.png b/output/sample/letter r_20230412181741_e000223_01.png new file mode 100644 index 0000000000000000000000000000000000000000..df93d60566e9e89985699940c3ed0103431998de Binary files /dev/null and b/output/sample/letter r_20230412181741_e000223_01.png differ diff --git a/output/sample/letter r_20230412181745_e000224_01.png b/output/sample/letter r_20230412181745_e000224_01.png new file mode 100644 index 0000000000000000000000000000000000000000..1597b3d5bbbc02939c960f3e21373e5e2419b39f Binary files /dev/null and b/output/sample/letter r_20230412181745_e000224_01.png differ diff --git a/output/sample/letter r_20230412181748_e000225_01.png b/output/sample/letter r_20230412181748_e000225_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c127a8919ddbb463c3ad6decf9b18fdd5d841364 Binary files /dev/null and b/output/sample/letter r_20230412181748_e000225_01.png differ diff --git a/output/sample/letter r_20230412181751_e000226_01.png b/output/sample/letter r_20230412181751_e000226_01.png new file mode 100644 index 0000000000000000000000000000000000000000..182f4e7c69b31e4182eb2286a8f26c235865ef7f Binary files /dev/null and b/output/sample/letter r_20230412181751_e000226_01.png differ diff --git a/output/sample/letter r_20230412181754_e000227_01.png b/output/sample/letter r_20230412181754_e000227_01.png new file mode 100644 index 0000000000000000000000000000000000000000..835e79e1a8cc4e575f7019df950765e893443e13 Binary files /dev/null and b/output/sample/letter r_20230412181754_e000227_01.png differ diff --git a/output/sample/letter r_20230412181757_e000228_01.png b/output/sample/letter r_20230412181757_e000228_01.png new file mode 100644 index 0000000000000000000000000000000000000000..174fe03b09952357bb7fee8e8bcf93910a86d16f Binary files /dev/null and b/output/sample/letter r_20230412181757_e000228_01.png differ diff --git a/output/sample/letter r_20230412181800_e000229_01.png b/output/sample/letter r_20230412181800_e000229_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e4b7fb03e41e6a4a08ff05840203639961a22a0d Binary files /dev/null and b/output/sample/letter r_20230412181800_e000229_01.png differ diff --git a/output/sample/letter r_20230412181803_e000230_01.png b/output/sample/letter r_20230412181803_e000230_01.png new file mode 100644 index 0000000000000000000000000000000000000000..a96cd9974b36a0ea3b493d45dd2561d752a99753 Binary files /dev/null and b/output/sample/letter r_20230412181803_e000230_01.png differ diff --git a/output/sample/letter r_20230412181806_e000231_01.png b/output/sample/letter r_20230412181806_e000231_01.png new file mode 100644 index 0000000000000000000000000000000000000000..aae52908beeb583f92785cb427b7b1dfc41a825a Binary files /dev/null and b/output/sample/letter r_20230412181806_e000231_01.png differ diff --git a/output/sample/letter r_20230412181809_e000232_01.png b/output/sample/letter r_20230412181809_e000232_01.png new file mode 100644 index 0000000000000000000000000000000000000000..6e8ccd3a8ba94d06658aa92708d4a37d19c0c5e9 Binary files /dev/null and b/output/sample/letter r_20230412181809_e000232_01.png differ diff --git a/output/sample/letter r_20230412181812_e000233_01.png b/output/sample/letter r_20230412181812_e000233_01.png new file mode 100644 index 0000000000000000000000000000000000000000..84549f29ce1dd76545687d170ec1408b6c09cda2 Binary files /dev/null and b/output/sample/letter r_20230412181812_e000233_01.png differ diff --git a/output/sample/letter r_20230412181815_e000234_01.png b/output/sample/letter r_20230412181815_e000234_01.png new file mode 100644 index 0000000000000000000000000000000000000000..24f42b4beb1f60c0c4377c0e5164a7e7a4e5ccb0 Binary files /dev/null and b/output/sample/letter r_20230412181815_e000234_01.png differ diff --git a/output/sample/letter r_20230412181819_e000235_01.png b/output/sample/letter r_20230412181819_e000235_01.png new file mode 100644 index 0000000000000000000000000000000000000000..4872677e5355cc3dc4e3d8a769a6358e879c1724 Binary files /dev/null and b/output/sample/letter r_20230412181819_e000235_01.png differ diff --git a/output/sample/letter r_20230412181822_e000236_01.png b/output/sample/letter r_20230412181822_e000236_01.png new file mode 100644 index 0000000000000000000000000000000000000000..78155e1c84827f8d715b275e686595fd6dcf9b57 Binary files /dev/null and b/output/sample/letter r_20230412181822_e000236_01.png differ diff --git a/output/sample/letter r_20230412181825_e000237_01.png b/output/sample/letter r_20230412181825_e000237_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8e3f4fdf646bed80dfaf7807672e093ed64591c2 Binary files /dev/null and b/output/sample/letter r_20230412181825_e000237_01.png differ diff --git a/output/sample/letter r_20230412181828_e000238_01.png b/output/sample/letter r_20230412181828_e000238_01.png new file mode 100644 index 0000000000000000000000000000000000000000..bc986080db994e0c72c0b2bd6c8209e65742fe4d Binary files /dev/null and b/output/sample/letter r_20230412181828_e000238_01.png differ diff --git a/output/sample/letter r_20230412181831_e000239_01.png b/output/sample/letter r_20230412181831_e000239_01.png new file mode 100644 index 0000000000000000000000000000000000000000..2f525f049ac516aee6f41441acf5f58955c1d665 Binary files /dev/null and b/output/sample/letter r_20230412181831_e000239_01.png differ diff --git a/output/sample/letter r_20230412181834_e000240_01.png b/output/sample/letter r_20230412181834_e000240_01.png new file mode 100644 index 0000000000000000000000000000000000000000..4a26f332749cf9686bc1097ea890c9238dc7afe7 Binary files /dev/null and b/output/sample/letter r_20230412181834_e000240_01.png differ diff --git a/output/sample/letter r_20230412181837_e000241_01.png b/output/sample/letter r_20230412181837_e000241_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7de1b9964974c00e6a7dd8e73623512c7267fc4e Binary files /dev/null and b/output/sample/letter r_20230412181837_e000241_01.png differ diff --git a/output/sample/letter r_20230412181840_e000242_01.png b/output/sample/letter r_20230412181840_e000242_01.png new file mode 100644 index 0000000000000000000000000000000000000000..caa5dbca40deffd5d683460f243123fae75e28e2 Binary files /dev/null and b/output/sample/letter r_20230412181840_e000242_01.png differ diff --git a/output/sample/letter r_20230412181843_e000243_01.png b/output/sample/letter r_20230412181843_e000243_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e716253102427eac9c55798c18c1d3bbe8267f85 Binary files /dev/null and b/output/sample/letter r_20230412181843_e000243_01.png differ diff --git a/output/sample/letter r_20230412181846_e000244_01.png b/output/sample/letter r_20230412181846_e000244_01.png new file mode 100644 index 0000000000000000000000000000000000000000..732268396dfcb2b0b1304fc73c6ae76d420c7b45 Binary files /dev/null and b/output/sample/letter r_20230412181846_e000244_01.png differ diff --git a/output/sample/letter r_20230412181849_e000245_01.png b/output/sample/letter r_20230412181849_e000245_01.png new file mode 100644 index 0000000000000000000000000000000000000000..604a9bb28a115675a373774ef4ee436cf68da024 Binary files /dev/null and b/output/sample/letter r_20230412181849_e000245_01.png differ diff --git a/output/sample/letter r_20230412181852_e000246_01.png b/output/sample/letter r_20230412181852_e000246_01.png new file mode 100644 index 0000000000000000000000000000000000000000..fcbffeb1c2e2ef2e0f33e57af81fa89d72b2c0a4 Binary files /dev/null and b/output/sample/letter r_20230412181852_e000246_01.png differ diff --git a/output/sample/letter r_20230412181855_e000247_01.png b/output/sample/letter r_20230412181855_e000247_01.png new file mode 100644 index 0000000000000000000000000000000000000000..5d018b7adc64ef06f537e9f4f592c0a552b7fbfc Binary files /dev/null and b/output/sample/letter r_20230412181855_e000247_01.png differ diff --git a/output/sample/letter r_20230412181858_e000248_01.png b/output/sample/letter r_20230412181858_e000248_01.png new file mode 100644 index 0000000000000000000000000000000000000000..0f849f8782ea8a951247c5b56715579e2c507530 Binary files /dev/null and b/output/sample/letter r_20230412181858_e000248_01.png differ diff --git a/output/sample/letter r_20230412181901_e000249_01.png b/output/sample/letter r_20230412181901_e000249_01.png new file mode 100644 index 0000000000000000000000000000000000000000..34b60e71593ae3f28091f957b785776e94a2dc94 Binary files /dev/null and b/output/sample/letter r_20230412181901_e000249_01.png differ diff --git a/output/sample/letter r_20230412181905_e000250_01.png b/output/sample/letter r_20230412181905_e000250_01.png new file mode 100644 index 0000000000000000000000000000000000000000..0f8e7049b752511292bf3bdf41bb93c93c50ef70 Binary files /dev/null and b/output/sample/letter r_20230412181905_e000250_01.png differ diff --git a/output/sample/letter r_20230412181908_e000251_01.png b/output/sample/letter r_20230412181908_e000251_01.png new file mode 100644 index 0000000000000000000000000000000000000000..d13ee5857fa458c432f5e873d14cd8fca952134a Binary files /dev/null and b/output/sample/letter r_20230412181908_e000251_01.png differ diff --git a/output/sample/letter r_20230412181911_e000252_01.png b/output/sample/letter r_20230412181911_e000252_01.png new file mode 100644 index 0000000000000000000000000000000000000000..294a930a87227e08d5811c9e05035412b4531b44 Binary files /dev/null and b/output/sample/letter r_20230412181911_e000252_01.png differ diff --git a/output/sample/letter r_20230412181914_e000253_01.png b/output/sample/letter r_20230412181914_e000253_01.png new file mode 100644 index 0000000000000000000000000000000000000000..035b6f03b65fa817bc07e1451a5ae2fd85280e64 Binary files /dev/null and b/output/sample/letter r_20230412181914_e000253_01.png differ diff --git a/output/sample/letter r_20230412181917_e000254_01.png b/output/sample/letter r_20230412181917_e000254_01.png new file mode 100644 index 0000000000000000000000000000000000000000..04a70c5fb3caf4ab11be34d4841d3d3541e98b75 Binary files /dev/null and b/output/sample/letter r_20230412181917_e000254_01.png differ diff --git a/output/sample/letter r_20230412181920_e000255_01.png b/output/sample/letter r_20230412181920_e000255_01.png new file mode 100644 index 0000000000000000000000000000000000000000..a362ab0a6766d677b6f94094f014d54d807de342 Binary files /dev/null and b/output/sample/letter r_20230412181920_e000255_01.png differ diff --git a/output/sample/letter r_20230412181923_e000256_01.png b/output/sample/letter r_20230412181923_e000256_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8c429a0abf5f344e3d1f830228f03bb0c112f9fb Binary files /dev/null and b/output/sample/letter r_20230412181923_e000256_01.png differ diff --git a/output/sample/letter r_20230412181926_e000257_01.png b/output/sample/letter r_20230412181926_e000257_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8bbe29abc45221790ec3187d1f580ae9488f87b0 Binary files /dev/null and b/output/sample/letter r_20230412181926_e000257_01.png differ diff --git a/output/sample/letter r_20230412181929_e000258_01.png b/output/sample/letter r_20230412181929_e000258_01.png new file mode 100644 index 0000000000000000000000000000000000000000..19dcb38fbec638efc272aa071257d5f62b26c6a1 Binary files /dev/null and b/output/sample/letter r_20230412181929_e000258_01.png differ diff --git a/output/sample/letter r_20230412181932_e000259_01.png b/output/sample/letter r_20230412181932_e000259_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b035533a12bb26255d610a0d1f39be64bd8d1049 Binary files /dev/null and b/output/sample/letter r_20230412181932_e000259_01.png differ diff --git a/output/sample/letter r_20230412181935_e000260_01.png b/output/sample/letter r_20230412181935_e000260_01.png new file mode 100644 index 0000000000000000000000000000000000000000..daa305d314ae9ec9e635c764383aa7b00b889a2a Binary files /dev/null and b/output/sample/letter r_20230412181935_e000260_01.png differ diff --git a/output/sample/letter r_20230412181938_e000261_01.png b/output/sample/letter r_20230412181938_e000261_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c8359ed14777f0b227a83d5ce74e78f73199d326 Binary files /dev/null and b/output/sample/letter r_20230412181938_e000261_01.png differ diff --git a/output/sample/letter r_20230412181942_e000262_01.png b/output/sample/letter r_20230412181942_e000262_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c89f8724fd5371b804c0d05adf64a46f14adbaf8 Binary files /dev/null and b/output/sample/letter r_20230412181942_e000262_01.png differ diff --git a/output/sample/letter r_20230412181945_e000263_01.png b/output/sample/letter r_20230412181945_e000263_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ca257b91ced19c1dd7379f3ee20310ce017bbab8 Binary files /dev/null and b/output/sample/letter r_20230412181945_e000263_01.png differ diff --git a/output/sample/letter r_20230412181948_e000264_01.png b/output/sample/letter r_20230412181948_e000264_01.png new file mode 100644 index 0000000000000000000000000000000000000000..854732a3b6aa2aa6387cd24b3b5ef55b448d074f Binary files /dev/null and b/output/sample/letter r_20230412181948_e000264_01.png differ diff --git a/output/sample/letter r_20230412181951_e000265_01.png b/output/sample/letter r_20230412181951_e000265_01.png new file mode 100644 index 0000000000000000000000000000000000000000..a50302e5d1485250c9e3e5796e96877eff0c4676 Binary files /dev/null and b/output/sample/letter r_20230412181951_e000265_01.png differ diff --git a/output/sample/letter r_20230412181954_e000266_01.png b/output/sample/letter r_20230412181954_e000266_01.png new file mode 100644 index 0000000000000000000000000000000000000000..768bb2c6d9bce89484045b3967534097967e423a Binary files /dev/null and b/output/sample/letter r_20230412181954_e000266_01.png differ diff --git a/output/sample/letter r_20230412181957_e000267_01.png b/output/sample/letter r_20230412181957_e000267_01.png new file mode 100644 index 0000000000000000000000000000000000000000..acbcee755c7d41df48ed27b2ca8af3e355ea0a60 Binary files /dev/null and b/output/sample/letter r_20230412181957_e000267_01.png differ diff --git a/output/sample/letter r_20230412182000_e000268_01.png b/output/sample/letter r_20230412182000_e000268_01.png new file mode 100644 index 0000000000000000000000000000000000000000..40631d7aa38fb89ff639bb31ed3152cedab43dc2 Binary files /dev/null and b/output/sample/letter r_20230412182000_e000268_01.png differ diff --git a/output/sample/letter r_20230412182003_e000269_01.png b/output/sample/letter r_20230412182003_e000269_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7a7bb1db0bf4f5660552e44d49ed33aad244ae89 Binary files /dev/null and b/output/sample/letter r_20230412182003_e000269_01.png differ diff --git a/output/sample/letter r_20230412182006_e000270_01.png b/output/sample/letter r_20230412182006_e000270_01.png new file mode 100644 index 0000000000000000000000000000000000000000..22fddbc40c27712d43d3e84ae961e0e5eb1c959a Binary files /dev/null and b/output/sample/letter r_20230412182006_e000270_01.png differ diff --git a/output/sample/letter r_20230412182009_e000271_01.png b/output/sample/letter r_20230412182009_e000271_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b126c05b9ca66e1cb7c736289d16a17a0d4e81bd Binary files /dev/null and b/output/sample/letter r_20230412182009_e000271_01.png differ diff --git a/output/sample/letter r_20230412182012_e000272_01.png b/output/sample/letter r_20230412182012_e000272_01.png new file mode 100644 index 0000000000000000000000000000000000000000..ae8dcea837725853d4361543f0d0934176475d9d Binary files /dev/null and b/output/sample/letter r_20230412182012_e000272_01.png differ diff --git a/output/sample/letter r_20230412182015_e000273_01.png b/output/sample/letter r_20230412182015_e000273_01.png new file mode 100644 index 0000000000000000000000000000000000000000..decf2c4f3a61736a7c29e28a6d792c490333135c Binary files /dev/null and b/output/sample/letter r_20230412182015_e000273_01.png differ diff --git a/output/sample/letter r_20230412182018_e000274_01.png b/output/sample/letter r_20230412182018_e000274_01.png new file mode 100644 index 0000000000000000000000000000000000000000..67f2bd4c16623bfe7be49b6dd8eded82774a2805 Binary files /dev/null and b/output/sample/letter r_20230412182018_e000274_01.png differ diff --git a/output/sample/letter r_20230412182022_e000275_01.png b/output/sample/letter r_20230412182022_e000275_01.png new file mode 100644 index 0000000000000000000000000000000000000000..2f6a55eb80df4082ca5c80088020a7928f4454ee Binary files /dev/null and b/output/sample/letter r_20230412182022_e000275_01.png differ diff --git a/output/sample/letter r_20230412182025_e000276_01.png b/output/sample/letter r_20230412182025_e000276_01.png new file mode 100644 index 0000000000000000000000000000000000000000..55da7122e5d8c6307bd9016cd32c6d30d1b3be1d Binary files /dev/null and b/output/sample/letter r_20230412182025_e000276_01.png differ diff --git a/output/sample/letter r_20230412182028_e000277_01.png b/output/sample/letter r_20230412182028_e000277_01.png new file mode 100644 index 0000000000000000000000000000000000000000..10a5df987752241abce2ae93b5ea1ccb9c74be95 Binary files /dev/null and b/output/sample/letter r_20230412182028_e000277_01.png differ diff --git a/output/sample/letter r_20230412182031_e000278_01.png b/output/sample/letter r_20230412182031_e000278_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7e8d704ab4cb92c7dded41260b5d209af2e2b956 Binary files /dev/null and b/output/sample/letter r_20230412182031_e000278_01.png differ diff --git a/output/sample/letter r_20230412182034_e000279_01.png b/output/sample/letter r_20230412182034_e000279_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7a5f2e51e79350dd8b152f0c8ff0106f33193dbd Binary files /dev/null and b/output/sample/letter r_20230412182034_e000279_01.png differ diff --git a/output/sample/letter r_20230412182037_e000280_01.png b/output/sample/letter r_20230412182037_e000280_01.png new file mode 100644 index 0000000000000000000000000000000000000000..fbe110dc032826a2fd5f3a8f1f4cfcad90f21608 Binary files /dev/null and b/output/sample/letter r_20230412182037_e000280_01.png differ diff --git a/output/sample/letter r_20230412182040_e000281_01.png b/output/sample/letter r_20230412182040_e000281_01.png new file mode 100644 index 0000000000000000000000000000000000000000..807d6ec2a751556cab02789e724e94ac35fc1116 Binary files /dev/null and b/output/sample/letter r_20230412182040_e000281_01.png differ diff --git a/output/sample/letter r_20230412182043_e000282_01.png b/output/sample/letter r_20230412182043_e000282_01.png new file mode 100644 index 0000000000000000000000000000000000000000..3e286b8072ae99339d3f01d14575c55854b0c257 Binary files /dev/null and b/output/sample/letter r_20230412182043_e000282_01.png differ diff --git a/output/sample/letter r_20230412182046_e000283_01.png b/output/sample/letter r_20230412182046_e000283_01.png new file mode 100644 index 0000000000000000000000000000000000000000..de14eb4518d3d143da389282781aad275da638bf Binary files /dev/null and b/output/sample/letter r_20230412182046_e000283_01.png differ diff --git a/output/sample/letter r_20230412182049_e000284_01.png b/output/sample/letter r_20230412182049_e000284_01.png new file mode 100644 index 0000000000000000000000000000000000000000..e8c7eb7b094386171a66641413646fa4dc086c30 Binary files /dev/null and b/output/sample/letter r_20230412182049_e000284_01.png differ diff --git a/output/sample/letter r_20230412182052_e000285_01.png b/output/sample/letter r_20230412182052_e000285_01.png new file mode 100644 index 0000000000000000000000000000000000000000..79a57140e8db1767d6057aee0f1ecdc0a87831da Binary files /dev/null and b/output/sample/letter r_20230412182052_e000285_01.png differ diff --git a/output/sample/letter r_20230412182055_e000286_01.png b/output/sample/letter r_20230412182055_e000286_01.png new file mode 100644 index 0000000000000000000000000000000000000000..29424cf48e200f66a647996814c882859f6d11dd Binary files /dev/null and b/output/sample/letter r_20230412182055_e000286_01.png differ diff --git a/output/sample/letter r_20230412182058_e000287_01.png b/output/sample/letter r_20230412182058_e000287_01.png new file mode 100644 index 0000000000000000000000000000000000000000..8d881d51e936c32f9a4b050cc083ebda345e41ba Binary files /dev/null and b/output/sample/letter r_20230412182058_e000287_01.png differ diff --git a/output/sample/letter r_20230412182101_e000288_01.png b/output/sample/letter r_20230412182101_e000288_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c1cb5fd66e9c34a2fb1202fe5398a01e15758f8b Binary files /dev/null and b/output/sample/letter r_20230412182101_e000288_01.png differ diff --git a/output/sample/letter r_20230412182104_e000289_01.png b/output/sample/letter r_20230412182104_e000289_01.png new file mode 100644 index 0000000000000000000000000000000000000000..a2796c294c8ae093d61909289260b7833fd9ab80 Binary files /dev/null and b/output/sample/letter r_20230412182104_e000289_01.png differ diff --git a/output/sample/letter r_20230412182107_e000290_01.png b/output/sample/letter r_20230412182107_e000290_01.png new file mode 100644 index 0000000000000000000000000000000000000000..7b92894fd939ed4584cd46b6696346ed3d419247 Binary files /dev/null and b/output/sample/letter r_20230412182107_e000290_01.png differ diff --git a/output/sample/letter r_20230412182110_e000291_01.png b/output/sample/letter r_20230412182110_e000291_01.png new file mode 100644 index 0000000000000000000000000000000000000000..f2ebb70c7a73c3569f7090953d8cb867283ddb3b Binary files /dev/null and b/output/sample/letter r_20230412182110_e000291_01.png differ diff --git a/output/sample/letter r_20230412182113_e000292_01.png b/output/sample/letter r_20230412182113_e000292_01.png new file mode 100644 index 0000000000000000000000000000000000000000..25b269345a1eb5ae3965ded17e6e62f9af358b64 Binary files /dev/null and b/output/sample/letter r_20230412182113_e000292_01.png differ diff --git a/output/sample/letter r_20230412182117_e000293_01.png b/output/sample/letter r_20230412182117_e000293_01.png new file mode 100644 index 0000000000000000000000000000000000000000..06585512fccf23ccc38f63a848ea914e23fcae13 Binary files /dev/null and b/output/sample/letter r_20230412182117_e000293_01.png differ diff --git a/output/sample/letter r_20230412182120_e000294_01.png b/output/sample/letter r_20230412182120_e000294_01.png new file mode 100644 index 0000000000000000000000000000000000000000..859c0e080756e071d6ca4d9073e14d89a957d332 Binary files /dev/null and b/output/sample/letter r_20230412182120_e000294_01.png differ diff --git a/output/sample/letter r_20230412182123_e000295_01.png b/output/sample/letter r_20230412182123_e000295_01.png new file mode 100644 index 0000000000000000000000000000000000000000..038e8ed67794508ecbde8940149c1ee976e4abaf Binary files /dev/null and b/output/sample/letter r_20230412182123_e000295_01.png differ diff --git a/output/sample/letter r_20230412182126_e000296_01.png b/output/sample/letter r_20230412182126_e000296_01.png new file mode 100644 index 0000000000000000000000000000000000000000..b4049bce5ac1c81d217a7f76aa1f9d96ac4a6c48 Binary files /dev/null and b/output/sample/letter r_20230412182126_e000296_01.png differ diff --git a/output/sample/letter r_20230412182129_e000297_01.png b/output/sample/letter r_20230412182129_e000297_01.png new file mode 100644 index 0000000000000000000000000000000000000000..9b61fd62b9a0c4e0541ca2553200137d1bc1a3f2 Binary files /dev/null and b/output/sample/letter r_20230412182129_e000297_01.png differ diff --git a/output/sample/letter r_20230412182132_e000298_01.png b/output/sample/letter r_20230412182132_e000298_01.png new file mode 100644 index 0000000000000000000000000000000000000000..eb3edbf41f9e9f828a6db408e4afd85a70e6223d Binary files /dev/null and b/output/sample/letter r_20230412182132_e000298_01.png differ diff --git a/output/sample/letter r_20230412182135_e000299_01.png b/output/sample/letter r_20230412182135_e000299_01.png new file mode 100644 index 0000000000000000000000000000000000000000..acbcee755c7d41df48ed27b2ca8af3e355ea0a60 Binary files /dev/null and b/output/sample/letter r_20230412182135_e000299_01.png differ diff --git a/output/sample/letter r_20230412182138_e000300_01.png b/output/sample/letter r_20230412182138_e000300_01.png new file mode 100644 index 0000000000000000000000000000000000000000..956bd89040823e5e1c81df69a4b686a83daa18d5 Binary files /dev/null and b/output/sample/letter r_20230412182138_e000300_01.png differ diff --git a/output/sample/letter r_20230412182141_e000301_01.png b/output/sample/letter r_20230412182141_e000301_01.png new file mode 100644 index 0000000000000000000000000000000000000000..c90178a71d941caff6eceb8ecd5fe52be09105e2 Binary files /dev/null and b/output/sample/letter r_20230412182141_e000301_01.png differ diff --git a/output/sample/letter r_20230412182144_e000302_01.png b/output/sample/letter r_20230412182144_e000302_01.png new file mode 100644 index 0000000000000000000000000000000000000000..15ac8a8a5aa2673f17f5b7370ca72908aecda225 Binary files /dev/null and b/output/sample/letter r_20230412182144_e000302_01.png differ diff --git a/output/sample/letter r_20230412182148_e000303_00.png b/output/sample/letter r_20230412182148_e000303_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0bedc182b82190ff05889196d920f9f61dfa42b9 Binary files /dev/null and b/output/sample/letter r_20230412182148_e000303_00.png differ diff --git a/output/sample/letter r_20230412182151_e000304_00.png b/output/sample/letter r_20230412182151_e000304_00.png new file mode 100644 index 0000000000000000000000000000000000000000..811e8e05afc7987fc3375bdbf8468b40704b297c Binary files /dev/null and b/output/sample/letter r_20230412182151_e000304_00.png differ diff --git a/output/sample/letter r_20230412182154_e000305_00.png b/output/sample/letter r_20230412182154_e000305_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8337b37e21c290adbc966534fe39a5a6e971b282 Binary files /dev/null and b/output/sample/letter r_20230412182154_e000305_00.png differ diff --git a/output/sample/letter r_20230412182157_e000306_00.png b/output/sample/letter r_20230412182157_e000306_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1358a65dc34174f85ebffc91aa1cf1c58bd9229d Binary files /dev/null and b/output/sample/letter r_20230412182157_e000306_00.png differ diff --git a/output/sample/letter r_20230412182200_e000307_00.png b/output/sample/letter r_20230412182200_e000307_00.png new file mode 100644 index 0000000000000000000000000000000000000000..64f28cdc2302f1a926b379ce4a6269018a25d8dd Binary files /dev/null and b/output/sample/letter r_20230412182200_e000307_00.png differ diff --git a/output/sample/letter r_20230412182203_e000308_00.png b/output/sample/letter r_20230412182203_e000308_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7349557e5cb553c84c6bfd9fb42be38903bbf193 Binary files /dev/null and b/output/sample/letter r_20230412182203_e000308_00.png differ diff --git a/output/sample/letter r_20230412182206_e000309_00.png b/output/sample/letter r_20230412182206_e000309_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e0a49b1e73fdd677975b94e440b01f6354de7671 Binary files /dev/null and b/output/sample/letter r_20230412182206_e000309_00.png differ diff --git a/output/sample/letter r_20230412182209_e000310_00.png b/output/sample/letter r_20230412182209_e000310_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7d087ad76329ae6c27077f69ed3d612406515fc7 Binary files /dev/null and b/output/sample/letter r_20230412182209_e000310_00.png differ diff --git a/output/sample/letter r_20230412182212_e000311_00.png b/output/sample/letter r_20230412182212_e000311_00.png new file mode 100644 index 0000000000000000000000000000000000000000..81fe15d593da6e82fc9f4f7c03570245eff3acb2 Binary files /dev/null and b/output/sample/letter r_20230412182212_e000311_00.png differ diff --git a/output/sample/letter r_20230412182215_e000312_00.png b/output/sample/letter r_20230412182215_e000312_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c7314ffbc61e91743972ced487d1e7cd2f2b3e0a Binary files /dev/null and b/output/sample/letter r_20230412182215_e000312_00.png differ diff --git a/output/sample/letter r_20230412182218_e000313_00.png b/output/sample/letter r_20230412182218_e000313_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c1842e896f190409740f7163562418ddbd8caf38 Binary files /dev/null and b/output/sample/letter r_20230412182218_e000313_00.png differ diff --git a/output/sample/letter r_20230412182221_e000314_00.png b/output/sample/letter r_20230412182221_e000314_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1bd63e3e6fe3fdaafe0e6b7f48fce4a06bb5d2da Binary files /dev/null and b/output/sample/letter r_20230412182221_e000314_00.png differ diff --git a/output/sample/letter r_20230412182224_e000315_00.png b/output/sample/letter r_20230412182224_e000315_00.png new file mode 100644 index 0000000000000000000000000000000000000000..366179f744422e9da3a78840ec8ec1052894eb91 Binary files /dev/null and b/output/sample/letter r_20230412182224_e000315_00.png differ diff --git a/output/sample/letter r_20230412182227_e000316_00.png b/output/sample/letter r_20230412182227_e000316_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cec494b3a1c98c065ec8fb1bb3f95c53f20698e6 Binary files /dev/null and b/output/sample/letter r_20230412182227_e000316_00.png differ diff --git a/output/sample/letter r_20230412182230_e000317_00.png b/output/sample/letter r_20230412182230_e000317_00.png new file mode 100644 index 0000000000000000000000000000000000000000..33b46585c089bf66a157735c2f7fe13660f8acbb Binary files /dev/null and b/output/sample/letter r_20230412182230_e000317_00.png differ diff --git a/output/sample/letter r_20230412182233_e000318_00.png b/output/sample/letter r_20230412182233_e000318_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b9c0a5e5c11702c55455dc2354257b5a98816a6a Binary files /dev/null and b/output/sample/letter r_20230412182233_e000318_00.png differ diff --git a/output/sample/letter r_20230412182236_e000319_00.png b/output/sample/letter r_20230412182236_e000319_00.png new file mode 100644 index 0000000000000000000000000000000000000000..affa0699cc5e21fefe14041c8c65c66041b7d87c Binary files /dev/null and b/output/sample/letter r_20230412182236_e000319_00.png differ diff --git a/output/sample/letter r_20230412182239_e000320_00.png b/output/sample/letter r_20230412182239_e000320_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1df9c44817f150c5719c77eab83ab1112e60307f Binary files /dev/null and b/output/sample/letter r_20230412182239_e000320_00.png differ diff --git a/output/sample/letter r_20230412182242_e000321_00.png b/output/sample/letter r_20230412182242_e000321_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5650c8584f53a60cf80c8cfa9e63eea53aa240bf Binary files /dev/null and b/output/sample/letter r_20230412182242_e000321_00.png differ diff --git a/output/sample/letter r_20230412182245_e000322_00.png b/output/sample/letter r_20230412182245_e000322_00.png new file mode 100644 index 0000000000000000000000000000000000000000..65994382457ba94f3f6f4031ef38ce34438c3c43 Binary files /dev/null and b/output/sample/letter r_20230412182245_e000322_00.png differ diff --git a/output/sample/letter r_20230412182248_e000323_00.png b/output/sample/letter r_20230412182248_e000323_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e308cc7f8a6d7a3f0e3af3108a1c932a2dc0541e Binary files /dev/null and b/output/sample/letter r_20230412182248_e000323_00.png differ diff --git a/output/sample/letter r_20230412182251_e000324_00.png b/output/sample/letter r_20230412182251_e000324_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5c7305f04237b10e50f614a089e7e455f32dc4a5 Binary files /dev/null and b/output/sample/letter r_20230412182251_e000324_00.png differ diff --git a/output/sample/letter r_20230412182254_e000325_00.png b/output/sample/letter r_20230412182254_e000325_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b676a97834880c61e08e84a301f9647f4ee07b5c Binary files /dev/null and b/output/sample/letter r_20230412182254_e000325_00.png differ diff --git a/output/sample/letter r_20230412182257_e000326_00.png b/output/sample/letter r_20230412182257_e000326_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d61fa9694e50b4a042a81169e0064a99d920a374 Binary files /dev/null and b/output/sample/letter r_20230412182257_e000326_00.png differ diff --git a/output/sample/letter r_20230412182300_e000327_00.png b/output/sample/letter r_20230412182300_e000327_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ae52ac2c94a18c32243655f306517e66d6fd9e31 Binary files /dev/null and b/output/sample/letter r_20230412182300_e000327_00.png differ diff --git a/output/sample/letter r_20230412182303_e000328_00.png b/output/sample/letter r_20230412182303_e000328_00.png new file mode 100644 index 0000000000000000000000000000000000000000..53151cca400ac6133bb0317a971ad586960bb131 Binary files /dev/null and b/output/sample/letter r_20230412182303_e000328_00.png differ diff --git a/output/sample/letter r_20230412182306_e000329_00.png b/output/sample/letter r_20230412182306_e000329_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e7c70e0bfdc042616c4a8a2980bfc1931c599e3b Binary files /dev/null and b/output/sample/letter r_20230412182306_e000329_00.png differ diff --git a/output/sample/letter r_20230412182309_e000330_00.png b/output/sample/letter r_20230412182309_e000330_00.png new file mode 100644 index 0000000000000000000000000000000000000000..38db9ffba215375536b705bddeeb0dd92e244408 Binary files /dev/null and b/output/sample/letter r_20230412182309_e000330_00.png differ diff --git a/output/sample/letter r_20230412182312_e000331_00.png b/output/sample/letter r_20230412182312_e000331_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5ee81106e6a2513143ac72809a591ebcf2fbd3b4 Binary files /dev/null and b/output/sample/letter r_20230412182312_e000331_00.png differ diff --git a/output/sample/letter r_20230412182315_e000332_00.png b/output/sample/letter r_20230412182315_e000332_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e993fc4475aefe583162f80420a8ede510090318 Binary files /dev/null and b/output/sample/letter r_20230412182315_e000332_00.png differ diff --git a/output/sample/letter r_20230412182318_e000333_00.png b/output/sample/letter r_20230412182318_e000333_00.png new file mode 100644 index 0000000000000000000000000000000000000000..df45f8d557d99bb79cad7f25f2a0c894692c12e7 Binary files /dev/null and b/output/sample/letter r_20230412182318_e000333_00.png differ diff --git a/output/sample/letter r_20230412182321_e000334_00.png b/output/sample/letter r_20230412182321_e000334_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b37d4c0e9a9da98e8219d151e6622cc18992ecf4 Binary files /dev/null and b/output/sample/letter r_20230412182321_e000334_00.png differ diff --git a/output/sample/letter r_20230412182324_e000335_00.png b/output/sample/letter r_20230412182324_e000335_00.png new file mode 100644 index 0000000000000000000000000000000000000000..28e5c681d60dee0b9347d1272372316f76d3214c Binary files /dev/null and b/output/sample/letter r_20230412182324_e000335_00.png differ diff --git a/output/sample/letter r_20230412182327_e000336_00.png b/output/sample/letter r_20230412182327_e000336_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4b1f40b0f0e6c896e6218d550a172422d6e241f4 Binary files /dev/null and b/output/sample/letter r_20230412182327_e000336_00.png differ diff --git a/output/sample/letter r_20230412182330_e000337_00.png b/output/sample/letter r_20230412182330_e000337_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9acd3e9c034863965b35db0dcfdf4ac2fb4aa730 Binary files /dev/null and b/output/sample/letter r_20230412182330_e000337_00.png differ diff --git a/output/sample/letter r_20230412182334_e000338_00.png b/output/sample/letter r_20230412182334_e000338_00.png new file mode 100644 index 0000000000000000000000000000000000000000..46a4adf39f03440670b45544c0395e497803e903 Binary files /dev/null and b/output/sample/letter r_20230412182334_e000338_00.png differ diff --git a/output/sample/letter r_20230412182337_e000339_00.png b/output/sample/letter r_20230412182337_e000339_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f4e9f3757b0b189a7a381d430088a76c6eda1963 Binary files /dev/null and b/output/sample/letter r_20230412182337_e000339_00.png differ diff --git a/output/sample/letter r_20230412182340_e000340_00.png b/output/sample/letter r_20230412182340_e000340_00.png new file mode 100644 index 0000000000000000000000000000000000000000..71fbf97ca0cd6139f08614fbe377162f508c3df0 Binary files /dev/null and b/output/sample/letter r_20230412182340_e000340_00.png differ diff --git a/output/sample/letter r_20230412182343_e000341_00.png b/output/sample/letter r_20230412182343_e000341_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d62c896df0a6847af9b58a9bc910bfd24edff84a Binary files /dev/null and b/output/sample/letter r_20230412182343_e000341_00.png differ diff --git a/output/sample/letter r_20230412182346_e000342_00.png b/output/sample/letter r_20230412182346_e000342_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5ba8030d82f7f56605a57aa22656a2824e4a81c6 Binary files /dev/null and b/output/sample/letter r_20230412182346_e000342_00.png differ diff --git a/output/sample/letter r_20230412182349_e000343_00.png b/output/sample/letter r_20230412182349_e000343_00.png new file mode 100644 index 0000000000000000000000000000000000000000..90bcd7aabb224e84aa839c9c69a4faac4d1141c4 Binary files /dev/null and b/output/sample/letter r_20230412182349_e000343_00.png differ diff --git a/output/sample/letter r_20230412182352_e000344_00.png b/output/sample/letter r_20230412182352_e000344_00.png new file mode 100644 index 0000000000000000000000000000000000000000..907d6697999167dec5af3f9960f14c608d32ad44 Binary files /dev/null and b/output/sample/letter r_20230412182352_e000344_00.png differ diff --git a/output/sample/letter r_20230412182355_e000345_00.png b/output/sample/letter r_20230412182355_e000345_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f7c69c5097465320d0b5dbd08c330472600b4089 Binary files /dev/null and b/output/sample/letter r_20230412182355_e000345_00.png differ diff --git a/output/sample/letter r_20230412182358_e000346_00.png b/output/sample/letter r_20230412182358_e000346_00.png new file mode 100644 index 0000000000000000000000000000000000000000..acad5048c984d69dc914eae0d90a0de48c8f3ee3 Binary files /dev/null and b/output/sample/letter r_20230412182358_e000346_00.png differ diff --git a/output/sample/letter r_20230412182401_e000347_00.png b/output/sample/letter r_20230412182401_e000347_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f59404f082b5a7c250dac6a6a45e4d0c39431f90 Binary files /dev/null and b/output/sample/letter r_20230412182401_e000347_00.png differ diff --git a/output/sample/letter r_20230412182404_e000348_00.png b/output/sample/letter r_20230412182404_e000348_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2912319a9a13ecb5350daf9efaf2f92cb685b4d0 Binary files /dev/null and b/output/sample/letter r_20230412182404_e000348_00.png differ diff --git a/output/sample/letter r_20230412182407_e000349_00.png b/output/sample/letter r_20230412182407_e000349_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b1fb30180cdcd623243712ce3f0a419f9c8cb28f Binary files /dev/null and b/output/sample/letter r_20230412182407_e000349_00.png differ diff --git a/output/sample/letter r_20230412182410_e000350_00.png b/output/sample/letter r_20230412182410_e000350_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8a17ce55ce6073eef6d64abcc84e7b180d929188 Binary files /dev/null and b/output/sample/letter r_20230412182410_e000350_00.png differ diff --git a/output/sample/letter r_20230412182413_e000351_00.png b/output/sample/letter r_20230412182413_e000351_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b1d4fb193a73f3a50dad59c3de4592061ae5355d Binary files /dev/null and b/output/sample/letter r_20230412182413_e000351_00.png differ diff --git a/output/sample/letter r_20230412182416_e000352_00.png b/output/sample/letter r_20230412182416_e000352_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dca152780bbaf9574fa7bff48c761912e714bd65 Binary files /dev/null and b/output/sample/letter r_20230412182416_e000352_00.png differ diff --git a/output/sample/letter r_20230412182419_e000353_00.png b/output/sample/letter r_20230412182419_e000353_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a14b68ec2727acbe45e95da1d34daa31d4cc7836 Binary files /dev/null and b/output/sample/letter r_20230412182419_e000353_00.png differ diff --git a/output/sample/letter r_20230412182422_e000354_00.png b/output/sample/letter r_20230412182422_e000354_00.png new file mode 100644 index 0000000000000000000000000000000000000000..600e427be81f87515973796e1bfcda58a4b1ac4b Binary files /dev/null and b/output/sample/letter r_20230412182422_e000354_00.png differ diff --git a/output/sample/letter r_20230412182425_e000355_00.png b/output/sample/letter r_20230412182425_e000355_00.png new file mode 100644 index 0000000000000000000000000000000000000000..156ac55ca405529abf0eee7232e40f4c9829f410 Binary files /dev/null and b/output/sample/letter r_20230412182425_e000355_00.png differ diff --git a/output/sample/letter r_20230412182428_e000356_00.png b/output/sample/letter r_20230412182428_e000356_00.png new file mode 100644 index 0000000000000000000000000000000000000000..66fb621876f45680c35b632d71eba8101e74c7d6 Binary files /dev/null and b/output/sample/letter r_20230412182428_e000356_00.png differ diff --git a/output/sample/letter r_20230412182431_e000357_00.png b/output/sample/letter r_20230412182431_e000357_00.png new file mode 100644 index 0000000000000000000000000000000000000000..aaf734f17f0f92f80db79dc64ff5354f93715e51 Binary files /dev/null and b/output/sample/letter r_20230412182431_e000357_00.png differ diff --git a/output/sample/letter r_20230412182434_e000358_00.png b/output/sample/letter r_20230412182434_e000358_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1cef228c0484036050dd2bf530d3c41c10105cb5 Binary files /dev/null and b/output/sample/letter r_20230412182434_e000358_00.png differ diff --git a/output/sample/letter r_20230412182437_e000359_00.png b/output/sample/letter r_20230412182437_e000359_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e2ed81bf42e9ffdef2996d6066f483989b771828 Binary files /dev/null and b/output/sample/letter r_20230412182437_e000359_00.png differ diff --git a/output/sample/letter r_20230412182441_e000360_00.png b/output/sample/letter r_20230412182441_e000360_00.png new file mode 100644 index 0000000000000000000000000000000000000000..40ee2ca3d507c87043ee21f51b823cb260ba2a03 Binary files /dev/null and b/output/sample/letter r_20230412182441_e000360_00.png differ diff --git a/output/sample/letter r_20230412182444_e000361_00.png b/output/sample/letter r_20230412182444_e000361_00.png new file mode 100644 index 0000000000000000000000000000000000000000..73bd4558def3504491cdbd6c04dce0abc4f443df Binary files /dev/null and b/output/sample/letter r_20230412182444_e000361_00.png differ diff --git a/output/sample/letter r_20230412182447_e000362_00.png b/output/sample/letter r_20230412182447_e000362_00.png new file mode 100644 index 0000000000000000000000000000000000000000..094fa5af9ba9f6d9f03e9f7fc19d0334b9d080dd Binary files /dev/null and b/output/sample/letter r_20230412182447_e000362_00.png differ diff --git a/output/sample/letter r_20230412182450_e000363_00.png b/output/sample/letter r_20230412182450_e000363_00.png new file mode 100644 index 0000000000000000000000000000000000000000..995528e7f4df9cfc96aa00460284c24bd2b69e0d Binary files /dev/null and b/output/sample/letter r_20230412182450_e000363_00.png differ diff --git a/output/sample/letter r_20230412182453_e000364_00.png b/output/sample/letter r_20230412182453_e000364_00.png new file mode 100644 index 0000000000000000000000000000000000000000..15d612f4e91535247597cbc4421a71b88ef3c18f Binary files /dev/null and b/output/sample/letter r_20230412182453_e000364_00.png differ diff --git a/output/sample/letter r_20230412182456_e000365_00.png b/output/sample/letter r_20230412182456_e000365_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c00e32b81485a31d82ca134b529e064c3a3fca8b Binary files /dev/null and b/output/sample/letter r_20230412182456_e000365_00.png differ diff --git a/output/sample/letter r_20230412182459_e000366_00.png b/output/sample/letter r_20230412182459_e000366_00.png new file mode 100644 index 0000000000000000000000000000000000000000..78d0bbeca86a58127ee513de2f303ece0dfa7ba9 Binary files /dev/null and b/output/sample/letter r_20230412182459_e000366_00.png differ diff --git a/output/sample/letter r_20230412182502_e000367_00.png b/output/sample/letter r_20230412182502_e000367_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bf02b7a25b4ceecd9c52e1a100353dcaf28a39f6 Binary files /dev/null and b/output/sample/letter r_20230412182502_e000367_00.png differ diff --git a/output/sample/letter r_20230412182505_e000368_00.png b/output/sample/letter r_20230412182505_e000368_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6ed56ef79e07e9706915a62926b279132da44f27 Binary files /dev/null and b/output/sample/letter r_20230412182505_e000368_00.png differ diff --git a/output/sample/letter r_20230412182508_e000369_00.png b/output/sample/letter r_20230412182508_e000369_00.png new file mode 100644 index 0000000000000000000000000000000000000000..072caee5d151a82613053d4dc2ee891f3c2b18f3 Binary files /dev/null and b/output/sample/letter r_20230412182508_e000369_00.png differ diff --git a/output/sample/letter r_20230412182511_e000370_00.png b/output/sample/letter r_20230412182511_e000370_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4d8eb7177e9d1b9e0292898a72880940efc6ead9 Binary files /dev/null and b/output/sample/letter r_20230412182511_e000370_00.png differ diff --git a/output/sample/letter r_20230412182514_e000371_00.png b/output/sample/letter r_20230412182514_e000371_00.png new file mode 100644 index 0000000000000000000000000000000000000000..69ae682a50b1157c00c4fef30602a6ae8d44193e Binary files /dev/null and b/output/sample/letter r_20230412182514_e000371_00.png differ diff --git a/output/sample/letter r_20230412182517_e000372_00.png b/output/sample/letter r_20230412182517_e000372_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4e8a83a05ca962ab595efdf89e0b9c31b5a0976b Binary files /dev/null and b/output/sample/letter r_20230412182517_e000372_00.png differ diff --git a/output/sample/letter r_20230412182520_e000373_00.png b/output/sample/letter r_20230412182520_e000373_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d6e47b8305e594f3e4cddba2a675bfd6b721b979 Binary files /dev/null and b/output/sample/letter r_20230412182520_e000373_00.png differ diff --git a/output/sample/letter r_20230412182523_e000374_00.png b/output/sample/letter r_20230412182523_e000374_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8f68484f882efa59fc6eaa1026900bdab10a4d17 Binary files /dev/null and b/output/sample/letter r_20230412182523_e000374_00.png differ diff --git a/output/sample/letter r_20230412182526_e000375_00.png b/output/sample/letter r_20230412182526_e000375_00.png new file mode 100644 index 0000000000000000000000000000000000000000..68f15ece36f37e6a691512afcd3052efaa973dc2 Binary files /dev/null and b/output/sample/letter r_20230412182526_e000375_00.png differ diff --git a/output/sample/letter r_20230412182529_e000376_00.png b/output/sample/letter r_20230412182529_e000376_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d350e7914b1e97838413e986a784fe7b46dadf4a Binary files /dev/null and b/output/sample/letter r_20230412182529_e000376_00.png differ diff --git a/output/sample/letter r_20230412182532_e000377_00.png b/output/sample/letter r_20230412182532_e000377_00.png new file mode 100644 index 0000000000000000000000000000000000000000..608a192c50a66ca3a7b70f66862ab4652e37a01d Binary files /dev/null and b/output/sample/letter r_20230412182532_e000377_00.png differ diff --git a/output/sample/letter r_20230412182535_e000378_00.png b/output/sample/letter r_20230412182535_e000378_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0332345ec8d8263d19ba1191186d1afc0d886747 Binary files /dev/null and b/output/sample/letter r_20230412182535_e000378_00.png differ diff --git a/output/sample/letter r_20230412182538_e000379_00.png b/output/sample/letter r_20230412182538_e000379_00.png new file mode 100644 index 0000000000000000000000000000000000000000..121cf2d8dc3e10612efabb04115b21e4de701643 Binary files /dev/null and b/output/sample/letter r_20230412182538_e000379_00.png differ diff --git a/output/sample/letter r_20230412182541_e000380_00.png b/output/sample/letter r_20230412182541_e000380_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bd3423e9db5f0c7d15618401723014b18ca96c47 Binary files /dev/null and b/output/sample/letter r_20230412182541_e000380_00.png differ diff --git a/output/sample/letter r_20230412182544_e000381_00.png b/output/sample/letter r_20230412182544_e000381_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6cdfd36ca39aff88b55a20c280a3c10656348219 Binary files /dev/null and b/output/sample/letter r_20230412182544_e000381_00.png differ diff --git a/output/sample/letter r_20230412182547_e000382_00.png b/output/sample/letter r_20230412182547_e000382_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bbae4d00b77b87547f817a487b4bb09cc81753f9 Binary files /dev/null and b/output/sample/letter r_20230412182547_e000382_00.png differ diff --git a/output/sample/letter r_20230412182550_e000383_00.png b/output/sample/letter r_20230412182550_e000383_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e44268a37fa4c12d4552aaafcb795b6befb25afa Binary files /dev/null and b/output/sample/letter r_20230412182550_e000383_00.png differ diff --git a/output/sample/letter r_20230412182553_e000384_00.png b/output/sample/letter r_20230412182553_e000384_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9220868d6764829df5c4f6f9f248cd499409a9c3 Binary files /dev/null and b/output/sample/letter r_20230412182553_e000384_00.png differ diff --git a/output/sample/letter r_20230412182556_e000385_00.png b/output/sample/letter r_20230412182556_e000385_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9eee918fe4ebc4b9247af05824c1a5594f4d0e51 Binary files /dev/null and b/output/sample/letter r_20230412182556_e000385_00.png differ diff --git a/output/sample/letter r_20230412182559_e000386_00.png b/output/sample/letter r_20230412182559_e000386_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7b3f179a309bba64b9528a77c2f0cd523c882f57 Binary files /dev/null and b/output/sample/letter r_20230412182559_e000386_00.png differ diff --git a/output/sample/letter r_20230412182602_e000387_00.png b/output/sample/letter r_20230412182602_e000387_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8c771cbd84ada2f4c948a09003ad7c764bd6ae13 Binary files /dev/null and b/output/sample/letter r_20230412182602_e000387_00.png differ diff --git a/output/sample/letter r_20230412182605_e000388_00.png b/output/sample/letter r_20230412182605_e000388_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e19dfe9240e2a77352ae1c357b28f50c02045f3b Binary files /dev/null and b/output/sample/letter r_20230412182605_e000388_00.png differ diff --git a/output/sample/letter r_20230412182608_e000389_00.png b/output/sample/letter r_20230412182608_e000389_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dc24770ff5885adb129c7b0d323a1e9baae9db61 Binary files /dev/null and b/output/sample/letter r_20230412182608_e000389_00.png differ diff --git a/output/sample/letter r_20230412182611_e000390_00.png b/output/sample/letter r_20230412182611_e000390_00.png new file mode 100644 index 0000000000000000000000000000000000000000..176c2987cc3a17f12a0e3fea252f7204942d9e35 Binary files /dev/null and b/output/sample/letter r_20230412182611_e000390_00.png differ diff --git a/output/sample/letter r_20230412182614_e000391_00.png b/output/sample/letter r_20230412182614_e000391_00.png new file mode 100644 index 0000000000000000000000000000000000000000..925c0160cbefc7410b8d12e53a8af6dec7f75129 Binary files /dev/null and b/output/sample/letter r_20230412182614_e000391_00.png differ diff --git a/output/sample/letter r_20230412182617_e000392_00.png b/output/sample/letter r_20230412182617_e000392_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ce5d1ab1e96cf4c8db8365bfec77ceb18388267e Binary files /dev/null and b/output/sample/letter r_20230412182617_e000392_00.png differ diff --git a/output/sample/letter r_20230412182620_e000393_00.png b/output/sample/letter r_20230412182620_e000393_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9a158f5dcaeca8a7410f5095ab5b33df68db520a Binary files /dev/null and b/output/sample/letter r_20230412182620_e000393_00.png differ diff --git a/output/sample/letter r_20230412182623_e000394_00.png b/output/sample/letter r_20230412182623_e000394_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b5589dd768da6f201979a77fad2c6c8f10df17b1 Binary files /dev/null and b/output/sample/letter r_20230412182623_e000394_00.png differ diff --git a/output/sample/letter r_20230412182626_e000395_00.png b/output/sample/letter r_20230412182626_e000395_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9c656db3db7516178fc243916d141f0556fdca6c Binary files /dev/null and b/output/sample/letter r_20230412182626_e000395_00.png differ diff --git a/output/sample/letter r_20230412182629_e000396_00.png b/output/sample/letter r_20230412182629_e000396_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ba51a5bf936f19c3195347f42033452b37bed8fa Binary files /dev/null and b/output/sample/letter r_20230412182629_e000396_00.png differ diff --git a/output/sample/letter r_20230412182632_e000397_00.png b/output/sample/letter r_20230412182632_e000397_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b8013b83067d4976d8ac0b2b8b261a14bcc094ca Binary files /dev/null and b/output/sample/letter r_20230412182632_e000397_00.png differ diff --git a/output/sample/letter r_20230412182636_e000398_00.png b/output/sample/letter r_20230412182636_e000398_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d634ebff36b46afcb2648b044b2b7bd8179f4c95 Binary files /dev/null and b/output/sample/letter r_20230412182636_e000398_00.png differ diff --git a/output/sample/letter r_20230412182639_e000399_00.png b/output/sample/letter r_20230412182639_e000399_00.png new file mode 100644 index 0000000000000000000000000000000000000000..08666f04bf5d217ba0417114e8ae4f637bcaf474 Binary files /dev/null and b/output/sample/letter r_20230412182639_e000399_00.png differ diff --git a/output/sample/letter r_20230412182642_e000400_00.png b/output/sample/letter r_20230412182642_e000400_00.png new file mode 100644 index 0000000000000000000000000000000000000000..584f3b9c9276a03cedd5da1d5cc79112e2d17835 Binary files /dev/null and b/output/sample/letter r_20230412182642_e000400_00.png differ diff --git a/output/sample/letter r_20230412182645_e000401_00.png b/output/sample/letter r_20230412182645_e000401_00.png new file mode 100644 index 0000000000000000000000000000000000000000..781dfe0731632b84eb73e9bc5dae6765c4b65e96 Binary files /dev/null and b/output/sample/letter r_20230412182645_e000401_00.png differ diff --git a/output/sample/letter r_20230412182648_e000402_00.png b/output/sample/letter r_20230412182648_e000402_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4923b785b08f4965c379265e205fbe9f567ae289 Binary files /dev/null and b/output/sample/letter r_20230412182648_e000402_00.png differ diff --git a/output/sample/letter r_20230412182651_e000403_00.png b/output/sample/letter r_20230412182651_e000403_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c59e0d839e7f5e9c2744aee9c35675b876697de5 Binary files /dev/null and b/output/sample/letter r_20230412182651_e000403_00.png differ diff --git a/output/sample/letter r_20230412182654_e000404_00.png b/output/sample/letter r_20230412182654_e000404_00.png new file mode 100644 index 0000000000000000000000000000000000000000..550801643edc4d561310356afc41aa327a6afc69 Binary files /dev/null and b/output/sample/letter r_20230412182654_e000404_00.png differ diff --git a/output/sample/letter r_20230412182657_e000405_00.png b/output/sample/letter r_20230412182657_e000405_00.png new file mode 100644 index 0000000000000000000000000000000000000000..50f4584819a1ae70ba67f656ec74e42ab7433e95 Binary files /dev/null and b/output/sample/letter r_20230412182657_e000405_00.png differ diff --git a/output/sample/letter r_20230412182700_e000406_00.png b/output/sample/letter r_20230412182700_e000406_00.png new file mode 100644 index 0000000000000000000000000000000000000000..79a7b51462b5f6dd255692ba92f42ca6a94dd104 Binary files /dev/null and b/output/sample/letter r_20230412182700_e000406_00.png differ diff --git a/output/sample/letter r_20230412182703_e000407_00.png b/output/sample/letter r_20230412182703_e000407_00.png new file mode 100644 index 0000000000000000000000000000000000000000..708672881b8961901702a733d22749ba41b0fd78 Binary files /dev/null and b/output/sample/letter r_20230412182703_e000407_00.png differ diff --git a/output/sample/letter r_20230412182706_e000408_00.png b/output/sample/letter r_20230412182706_e000408_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7071da3cfa2193fa44fd2412184146e49809672a Binary files /dev/null and b/output/sample/letter r_20230412182706_e000408_00.png differ diff --git a/output/sample/letter r_20230412182709_e000409_00.png b/output/sample/letter r_20230412182709_e000409_00.png new file mode 100644 index 0000000000000000000000000000000000000000..13a2011b15fe41dc6eb3e5b5b23fd9a056fed8b4 Binary files /dev/null and b/output/sample/letter r_20230412182709_e000409_00.png differ diff --git a/output/sample/letter r_20230412182712_e000410_00.png b/output/sample/letter r_20230412182712_e000410_00.png new file mode 100644 index 0000000000000000000000000000000000000000..07e636c638512391b96ebde41586464f154d5b4f Binary files /dev/null and b/output/sample/letter r_20230412182712_e000410_00.png differ diff --git a/output/sample/letter r_20230412182715_e000411_00.png b/output/sample/letter r_20230412182715_e000411_00.png new file mode 100644 index 0000000000000000000000000000000000000000..99e7c211be2fcaa6821e1623983de81f96d8caa4 Binary files /dev/null and b/output/sample/letter r_20230412182715_e000411_00.png differ diff --git a/output/sample/letter r_20230412182718_e000412_00.png b/output/sample/letter r_20230412182718_e000412_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1a26d40de0127199e0ea486212776fdc132fc44d Binary files /dev/null and b/output/sample/letter r_20230412182718_e000412_00.png differ diff --git a/output/sample/letter r_20230412182721_e000413_00.png b/output/sample/letter r_20230412182721_e000413_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4f5cf672677d3342b6da554d59214ae7f253ee26 Binary files /dev/null and b/output/sample/letter r_20230412182721_e000413_00.png differ diff --git a/output/sample/letter r_20230412182724_e000414_00.png b/output/sample/letter r_20230412182724_e000414_00.png new file mode 100644 index 0000000000000000000000000000000000000000..165985c6b955017374d7e421d54febbb2092ea05 Binary files /dev/null and b/output/sample/letter r_20230412182724_e000414_00.png differ diff --git a/output/sample/letter r_20230412182727_e000415_00.png b/output/sample/letter r_20230412182727_e000415_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c286df2c2ca475417a2cf2c4c42ed63027f08321 Binary files /dev/null and b/output/sample/letter r_20230412182727_e000415_00.png differ diff --git a/output/sample/letter r_20230412182730_e000416_00.png b/output/sample/letter r_20230412182730_e000416_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ba4058683901185d2bc8951a6e69af31763c8025 Binary files /dev/null and b/output/sample/letter r_20230412182730_e000416_00.png differ diff --git a/output/sample/letter r_20230412182733_e000417_00.png b/output/sample/letter r_20230412182733_e000417_00.png new file mode 100644 index 0000000000000000000000000000000000000000..fd7f77d4ff97a80af644f115706153c706fbfef2 Binary files /dev/null and b/output/sample/letter r_20230412182733_e000417_00.png differ diff --git a/output/sample/letter r_20230412182736_e000418_00.png b/output/sample/letter r_20230412182736_e000418_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9e37cf0262b21a8f2fab6ec60db287565902bb09 Binary files /dev/null and b/output/sample/letter r_20230412182736_e000418_00.png differ diff --git a/output/sample/letter r_20230412182739_e000419_00.png b/output/sample/letter r_20230412182739_e000419_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a424bef2be49a8eda2ea41184f11def0ad76fdc9 Binary files /dev/null and b/output/sample/letter r_20230412182739_e000419_00.png differ diff --git a/output/sample/letter r_20230412182742_e000420_00.png b/output/sample/letter r_20230412182742_e000420_00.png new file mode 100644 index 0000000000000000000000000000000000000000..adc7d39ea8f4340e2a4653c08fb7b3045b3e1472 Binary files /dev/null and b/output/sample/letter r_20230412182742_e000420_00.png differ diff --git a/output/sample/letter r_20230412182745_e000421_00.png b/output/sample/letter r_20230412182745_e000421_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3f928b599de388b699666333e0566a5c198359d0 Binary files /dev/null and b/output/sample/letter r_20230412182745_e000421_00.png differ diff --git a/output/sample/letter r_20230412182748_e000422_00.png b/output/sample/letter r_20230412182748_e000422_00.png new file mode 100644 index 0000000000000000000000000000000000000000..427e813e99ddc67c4e594b759bfd8b0e05a9e5aa Binary files /dev/null and b/output/sample/letter r_20230412182748_e000422_00.png differ diff --git a/output/sample/letter r_20230412182750_e000423_00.png b/output/sample/letter r_20230412182750_e000423_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d755d9facc309a2b3af35aee0421761f2bc49e8d Binary files /dev/null and b/output/sample/letter r_20230412182750_e000423_00.png differ diff --git a/output/sample/letter r_20230412182754_e000424_00.png b/output/sample/letter r_20230412182754_e000424_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e0b38ae78c73d782d6f99650ec1c2bef079344a8 Binary files /dev/null and b/output/sample/letter r_20230412182754_e000424_00.png differ diff --git a/output/sample/letter r_20230412182757_e000425_00.png b/output/sample/letter r_20230412182757_e000425_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ae583cc0a74ea3ab91028704093821e596a229c1 Binary files /dev/null and b/output/sample/letter r_20230412182757_e000425_00.png differ diff --git a/output/sample/letter r_20230412182800_e000426_00.png b/output/sample/letter r_20230412182800_e000426_00.png new file mode 100644 index 0000000000000000000000000000000000000000..09dff0ef47851189eed4a6edf5b7043dd1304e37 Binary files /dev/null and b/output/sample/letter r_20230412182800_e000426_00.png differ diff --git a/output/sample/letter r_20230412182803_e000427_00.png b/output/sample/letter r_20230412182803_e000427_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5b092cfb8aad5e3c1f69aa7540601651d9f44f90 Binary files /dev/null and b/output/sample/letter r_20230412182803_e000427_00.png differ diff --git a/output/sample/letter r_20230412182806_e000428_00.png b/output/sample/letter r_20230412182806_e000428_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0e9e1270b6d9d5225ce1c06aec00afca9e3c761d Binary files /dev/null and b/output/sample/letter r_20230412182806_e000428_00.png differ diff --git a/output/sample/letter r_20230412182809_e000429_00.png b/output/sample/letter r_20230412182809_e000429_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9542046adb21ec1a22cbc1fd3d4f395b82724ca0 Binary files /dev/null and b/output/sample/letter r_20230412182809_e000429_00.png differ diff --git a/output/sample/letter r_20230412182812_e000430_00.png b/output/sample/letter r_20230412182812_e000430_00.png new file mode 100644 index 0000000000000000000000000000000000000000..03e5a522b5994fcadab613058dcace1d6af110bc Binary files /dev/null and b/output/sample/letter r_20230412182812_e000430_00.png differ diff --git a/output/sample/letter r_20230412182815_e000431_00.png b/output/sample/letter r_20230412182815_e000431_00.png new file mode 100644 index 0000000000000000000000000000000000000000..af314425e4654f81f3412a61a510e5c920d8ab24 Binary files /dev/null and b/output/sample/letter r_20230412182815_e000431_00.png differ diff --git a/output/sample/letter r_20230412182818_e000432_00.png b/output/sample/letter r_20230412182818_e000432_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c8ac6b076a622d67981180bf05c7dc052526ae12 Binary files /dev/null and b/output/sample/letter r_20230412182818_e000432_00.png differ diff --git a/output/sample/letter r_20230412182821_e000433_00.png b/output/sample/letter r_20230412182821_e000433_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2ac93f07e6b4501b3e73984f86aa4decfd9944c8 Binary files /dev/null and b/output/sample/letter r_20230412182821_e000433_00.png differ diff --git a/output/sample/letter r_20230412182824_e000434_00.png b/output/sample/letter r_20230412182824_e000434_00.png new file mode 100644 index 0000000000000000000000000000000000000000..292163046d0e04bc7cd8cc0846c26b0eaad8baf1 Binary files /dev/null and b/output/sample/letter r_20230412182824_e000434_00.png differ diff --git a/output/sample/letter r_20230412182827_e000435_00.png b/output/sample/letter r_20230412182827_e000435_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a28340706d5bd4e8ede8ac5de45146c676c826a7 Binary files /dev/null and b/output/sample/letter r_20230412182827_e000435_00.png differ diff --git a/output/sample/letter r_20230412182830_e000436_00.png b/output/sample/letter r_20230412182830_e000436_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9294437366e72697bd4f61a33b1213a0b2380387 Binary files /dev/null and b/output/sample/letter r_20230412182830_e000436_00.png differ diff --git a/output/sample/letter r_20230412182833_e000437_00.png b/output/sample/letter r_20230412182833_e000437_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b7a2f836cc19744275ccc36258856d471f94b915 Binary files /dev/null and b/output/sample/letter r_20230412182833_e000437_00.png differ diff --git a/output/sample/letter r_20230412182836_e000438_00.png b/output/sample/letter r_20230412182836_e000438_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9e03b6e245385b72519f3a7c0bbbe3a6fb23f6cd Binary files /dev/null and b/output/sample/letter r_20230412182836_e000438_00.png differ diff --git a/output/sample/letter r_20230412182839_e000439_00.png b/output/sample/letter r_20230412182839_e000439_00.png new file mode 100644 index 0000000000000000000000000000000000000000..90b235bf8cd7c055648df55bf275cf5c2fd29f7d Binary files /dev/null and b/output/sample/letter r_20230412182839_e000439_00.png differ diff --git a/output/sample/letter r_20230412182842_e000440_00.png b/output/sample/letter r_20230412182842_e000440_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9ffe74493c4463f3765c45dedc6ac9605623b07b Binary files /dev/null and b/output/sample/letter r_20230412182842_e000440_00.png differ diff --git a/output/sample/letter r_20230412182845_e000441_00.png b/output/sample/letter r_20230412182845_e000441_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4577800cb111b4bc24b11c40417839adb42ec11f Binary files /dev/null and b/output/sample/letter r_20230412182845_e000441_00.png differ diff --git a/output/sample/letter r_20230412182848_e000442_00.png b/output/sample/letter r_20230412182848_e000442_00.png new file mode 100644 index 0000000000000000000000000000000000000000..417e5b59f9b2e453ef8c2b63a6d8ec2391e6194a Binary files /dev/null and b/output/sample/letter r_20230412182848_e000442_00.png differ diff --git a/output/sample/letter r_20230412182851_e000443_00.png b/output/sample/letter r_20230412182851_e000443_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d5b57d206ad9d88f5feb0ef773585e13bcc098de Binary files /dev/null and b/output/sample/letter r_20230412182851_e000443_00.png differ diff --git a/output/sample/letter r_20230412182854_e000444_00.png b/output/sample/letter r_20230412182854_e000444_00.png new file mode 100644 index 0000000000000000000000000000000000000000..550a7144ffa21446feeef60c31985db2164d7738 Binary files /dev/null and b/output/sample/letter r_20230412182854_e000444_00.png differ diff --git a/output/sample/letter r_20230412182857_e000445_00.png b/output/sample/letter r_20230412182857_e000445_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3f2d96c574d9364dbc64b665bdf2d14f17998920 Binary files /dev/null and b/output/sample/letter r_20230412182857_e000445_00.png differ diff --git a/output/sample/letter r_20230412182900_e000446_00.png b/output/sample/letter r_20230412182900_e000446_00.png new file mode 100644 index 0000000000000000000000000000000000000000..08dcce3e037f7c0aee1bf4599fff2ba3916acc60 Binary files /dev/null and b/output/sample/letter r_20230412182900_e000446_00.png differ diff --git a/output/sample/letter r_20230412182903_e000447_00.png b/output/sample/letter r_20230412182903_e000447_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b4405e20d6f900c93dc5e0c71f8b798b20e25c63 Binary files /dev/null and b/output/sample/letter r_20230412182903_e000447_00.png differ diff --git a/output/sample/letter r_20230412182906_e000448_00.png b/output/sample/letter r_20230412182906_e000448_00.png new file mode 100644 index 0000000000000000000000000000000000000000..be7593a62db0a9238210fa20e973a3bac8b1d7af Binary files /dev/null and b/output/sample/letter r_20230412182906_e000448_00.png differ diff --git a/output/sample/letter r_20230412182909_e000449_00.png b/output/sample/letter r_20230412182909_e000449_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4f2134f25e678f20b93d9fff2610ffb5eed61c68 Binary files /dev/null and b/output/sample/letter r_20230412182909_e000449_00.png differ diff --git a/output/sample/letter r_20230412182912_e000450_00.png b/output/sample/letter r_20230412182912_e000450_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b18a3e657a9159068e050a69361f04796d2a4ad1 Binary files /dev/null and b/output/sample/letter r_20230412182912_e000450_00.png differ diff --git a/output/sample/letter r_20230412182915_e000451_00.png b/output/sample/letter r_20230412182915_e000451_00.png new file mode 100644 index 0000000000000000000000000000000000000000..96c3b4168aa41a8ca710df0f3bbf67284af7b7a4 Binary files /dev/null and b/output/sample/letter r_20230412182915_e000451_00.png differ diff --git a/output/sample/letter r_20230412182918_e000452_00.png b/output/sample/letter r_20230412182918_e000452_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d40f25ac1d9a679c02eebedec49a0d3b2ccfacc5 Binary files /dev/null and b/output/sample/letter r_20230412182918_e000452_00.png differ diff --git a/output/sample/letter r_20230412182921_e000453_00.png b/output/sample/letter r_20230412182921_e000453_00.png new file mode 100644 index 0000000000000000000000000000000000000000..883a751f33d4d7549b83a9ac2ca3435098e07597 Binary files /dev/null and b/output/sample/letter r_20230412182921_e000453_00.png differ diff --git a/output/sample/letter r_20230412182924_e000454_00.png b/output/sample/letter r_20230412182924_e000454_00.png new file mode 100644 index 0000000000000000000000000000000000000000..142f6b3f651decc78f5c2af841a8e5c71c395d84 Binary files /dev/null and b/output/sample/letter r_20230412182924_e000454_00.png differ diff --git a/output/sample/letter r_20230412182927_e000455_00.png b/output/sample/letter r_20230412182927_e000455_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b693a6cf7d86e885ca90e0bf7e65f072451da5bb Binary files /dev/null and b/output/sample/letter r_20230412182927_e000455_00.png differ diff --git a/output/sample/letter r_20230412182930_e000456_00.png b/output/sample/letter r_20230412182930_e000456_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ca3b527be8280708d6ea7faa74f5ac0d028b60fe Binary files /dev/null and b/output/sample/letter r_20230412182930_e000456_00.png differ diff --git a/output/sample/letter r_20230412182933_e000457_00.png b/output/sample/letter r_20230412182933_e000457_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e8b8067cdcbc24345181c720d27a33f8f8b05e81 Binary files /dev/null and b/output/sample/letter r_20230412182933_e000457_00.png differ diff --git a/output/sample/letter r_20230412182936_e000458_00.png b/output/sample/letter r_20230412182936_e000458_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5301599cbb0c76fd82a2ef4e713d62c23b9ad3bd Binary files /dev/null and b/output/sample/letter r_20230412182936_e000458_00.png differ diff --git a/output/sample/letter r_20230412182939_e000459_00.png b/output/sample/letter r_20230412182939_e000459_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e39e72771e83b45989bcb78357e6aaa67907c54a Binary files /dev/null and b/output/sample/letter r_20230412182939_e000459_00.png differ diff --git a/output/sample/letter r_20230412182942_e000460_00.png b/output/sample/letter r_20230412182942_e000460_00.png new file mode 100644 index 0000000000000000000000000000000000000000..032f4fd20ded8f3fbc672c4e90146f3bc65b95f4 Binary files /dev/null and b/output/sample/letter r_20230412182942_e000460_00.png differ diff --git a/output/sample/letter r_20230412182945_e000461_00.png b/output/sample/letter r_20230412182945_e000461_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4cc76a72f73759b4f54c673f19e2767817eca154 Binary files /dev/null and b/output/sample/letter r_20230412182945_e000461_00.png differ diff --git a/output/sample/letter r_20230412182948_e000462_00.png b/output/sample/letter r_20230412182948_e000462_00.png new file mode 100644 index 0000000000000000000000000000000000000000..01220c7bac93da58e53a254136b630c20e1c6f8f Binary files /dev/null and b/output/sample/letter r_20230412182948_e000462_00.png differ diff --git a/output/sample/letter r_20230412182951_e000463_00.png b/output/sample/letter r_20230412182951_e000463_00.png new file mode 100644 index 0000000000000000000000000000000000000000..03dfd9cbce2ec73578ddf26951a354de6f22d2cd Binary files /dev/null and b/output/sample/letter r_20230412182951_e000463_00.png differ diff --git a/output/sample/letter r_20230412182954_e000464_00.png b/output/sample/letter r_20230412182954_e000464_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a1cbcd2fcf3bcd01abc5c4aab3685d81dcfae1ab Binary files /dev/null and b/output/sample/letter r_20230412182954_e000464_00.png differ diff --git a/output/sample/letter r_20230412182957_e000465_00.png b/output/sample/letter r_20230412182957_e000465_00.png new file mode 100644 index 0000000000000000000000000000000000000000..fbded3a9de3e93bd5c4fe019d81c90aa7b7aec32 Binary files /dev/null and b/output/sample/letter r_20230412182957_e000465_00.png differ diff --git a/output/sample/letter r_20230412183000_e000466_00.png b/output/sample/letter r_20230412183000_e000466_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ce6004280611d63fb67921090b8ff2727dff57af Binary files /dev/null and b/output/sample/letter r_20230412183000_e000466_00.png differ diff --git a/output/sample/letter r_20230412183003_e000467_00.png b/output/sample/letter r_20230412183003_e000467_00.png new file mode 100644 index 0000000000000000000000000000000000000000..09ff375706e7bd997808d4888eab0a7a6d905c6b Binary files /dev/null and b/output/sample/letter r_20230412183003_e000467_00.png differ diff --git a/output/sample/letter r_20230412183006_e000468_00.png b/output/sample/letter r_20230412183006_e000468_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4dde9e58a1628111697f3cdd5a9d95228078b86e Binary files /dev/null and b/output/sample/letter r_20230412183006_e000468_00.png differ diff --git a/output/sample/letter r_20230412183009_e000469_00.png b/output/sample/letter r_20230412183009_e000469_00.png new file mode 100644 index 0000000000000000000000000000000000000000..78403efb348fec88cdbdc8154a75e0c5dec82d43 Binary files /dev/null and b/output/sample/letter r_20230412183009_e000469_00.png differ diff --git a/output/sample/letter r_20230412183012_e000470_00.png b/output/sample/letter r_20230412183012_e000470_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ba53c6312225b4336df2239428a6a7911a080c50 Binary files /dev/null and b/output/sample/letter r_20230412183012_e000470_00.png differ diff --git a/output/sample/letter r_20230412183015_e000471_00.png b/output/sample/letter r_20230412183015_e000471_00.png new file mode 100644 index 0000000000000000000000000000000000000000..423b863ff07f7007d369049a6678384b6f91923d Binary files /dev/null and b/output/sample/letter r_20230412183015_e000471_00.png differ diff --git a/output/sample/letter r_20230412183018_e000472_00.png b/output/sample/letter r_20230412183018_e000472_00.png new file mode 100644 index 0000000000000000000000000000000000000000..760c74eace9df1720da2a2c7fd6d0a4463b8bb13 Binary files /dev/null and b/output/sample/letter r_20230412183018_e000472_00.png differ diff --git a/output/sample/letter r_20230412183021_e000473_00.png b/output/sample/letter r_20230412183021_e000473_00.png new file mode 100644 index 0000000000000000000000000000000000000000..77feb727794362dbc10720052e1012544a3a36f9 Binary files /dev/null and b/output/sample/letter r_20230412183021_e000473_00.png differ diff --git a/output/sample/letter r_20230412183024_e000474_00.png b/output/sample/letter r_20230412183024_e000474_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c937348418c714a3110c4b33c6a1c456a4ebbc43 Binary files /dev/null and b/output/sample/letter r_20230412183024_e000474_00.png differ diff --git a/output/sample/letter r_20230412183027_e000475_00.png b/output/sample/letter r_20230412183027_e000475_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9d4895a5b7c158b4be836a2887cef6ae07632f90 Binary files /dev/null and b/output/sample/letter r_20230412183027_e000475_00.png differ diff --git a/output/sample/letter r_20230412183030_e000476_00.png b/output/sample/letter r_20230412183030_e000476_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1fabf00076948d67660b01c00d5efedab850966b Binary files /dev/null and b/output/sample/letter r_20230412183030_e000476_00.png differ diff --git a/output/sample/letter r_20230412183033_e000477_00.png b/output/sample/letter r_20230412183033_e000477_00.png new file mode 100644 index 0000000000000000000000000000000000000000..605ad4e4ba27bd146cf8959a194b46f4fe4e3440 Binary files /dev/null and b/output/sample/letter r_20230412183033_e000477_00.png differ diff --git a/output/sample/letter r_20230412183036_e000478_00.png b/output/sample/letter r_20230412183036_e000478_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6e9a3e8b03a648925f1fc8d79b34b7adae2aa42a Binary files /dev/null and b/output/sample/letter r_20230412183036_e000478_00.png differ diff --git a/output/sample/letter r_20230412183039_e000479_00.png b/output/sample/letter r_20230412183039_e000479_00.png new file mode 100644 index 0000000000000000000000000000000000000000..38e185bff7c0b74f66a88152076b9bd783b143f8 Binary files /dev/null and b/output/sample/letter r_20230412183039_e000479_00.png differ diff --git a/output/sample/letter r_20230412183043_e000480_00.png b/output/sample/letter r_20230412183043_e000480_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bb1e1dd95990cd4c3bb3d2702d8032a8a91ffe2d Binary files /dev/null and b/output/sample/letter r_20230412183043_e000480_00.png differ diff --git a/output/sample/letter r_20230412183046_e000481_00.png b/output/sample/letter r_20230412183046_e000481_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8c36e7288b93ef7bc33fcc9cf73b09a16c87987e Binary files /dev/null and b/output/sample/letter r_20230412183046_e000481_00.png differ diff --git a/output/sample/letter r_20230412183049_e000482_00.png b/output/sample/letter r_20230412183049_e000482_00.png new file mode 100644 index 0000000000000000000000000000000000000000..95da47017f0c5382194c7e81e7ba3a697110ac7c Binary files /dev/null and b/output/sample/letter r_20230412183049_e000482_00.png differ diff --git a/output/sample/letter r_20230412183052_e000483_00.png b/output/sample/letter r_20230412183052_e000483_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8f2ceae02d30e748072c9f66379c47ace5190bda Binary files /dev/null and b/output/sample/letter r_20230412183052_e000483_00.png differ diff --git a/output/sample/letter r_20230412183055_e000484_00.png b/output/sample/letter r_20230412183055_e000484_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b6e0084af977ffb6685deded73b68dd739806997 Binary files /dev/null and b/output/sample/letter r_20230412183055_e000484_00.png differ diff --git a/output/sample/letter r_20230412183058_e000485_00.png b/output/sample/letter r_20230412183058_e000485_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ba780d2cd2c8d22d323c8c22ff4755a32d76a8ab Binary files /dev/null and b/output/sample/letter r_20230412183058_e000485_00.png differ diff --git a/output/sample/letter r_20230412183101_e000486_00.png b/output/sample/letter r_20230412183101_e000486_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2cdf2d6bf0624e5004799127fc4791cd465fdc7a Binary files /dev/null and b/output/sample/letter r_20230412183101_e000486_00.png differ diff --git a/output/sample/letter r_20230412183104_e000487_00.png b/output/sample/letter r_20230412183104_e000487_00.png new file mode 100644 index 0000000000000000000000000000000000000000..066e1ec7c2be7a7f7b4f7dd34e4bae1a819a3f59 Binary files /dev/null and b/output/sample/letter r_20230412183104_e000487_00.png differ diff --git a/output/sample/letter r_20230412183107_e000488_00.png b/output/sample/letter r_20230412183107_e000488_00.png new file mode 100644 index 0000000000000000000000000000000000000000..51182c7ca17af8835493a2f7dce8934e4fd20bf1 Binary files /dev/null and b/output/sample/letter r_20230412183107_e000488_00.png differ diff --git a/output/sample/letter r_20230412183110_e000489_00.png b/output/sample/letter r_20230412183110_e000489_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5c4fa0a10fbc4bcf754e13c880a981990925114b Binary files /dev/null and b/output/sample/letter r_20230412183110_e000489_00.png differ diff --git a/output/sample/letter r_20230412183113_e000490_00.png b/output/sample/letter r_20230412183113_e000490_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bcfb280d96d143032194ed73d75140371a97c142 Binary files /dev/null and b/output/sample/letter r_20230412183113_e000490_00.png differ diff --git a/output/sample/letter r_20230412183116_e000491_00.png b/output/sample/letter r_20230412183116_e000491_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e7be6fd09d368925751eebb6b6ed9be5b57979b6 Binary files /dev/null and b/output/sample/letter r_20230412183116_e000491_00.png differ diff --git a/output/sample/letter r_20230412183119_e000492_00.png b/output/sample/letter r_20230412183119_e000492_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8473a36959b078587f69962a420fd3419e5b449a Binary files /dev/null and b/output/sample/letter r_20230412183119_e000492_00.png differ diff --git a/output/sample/letter r_20230412183122_e000493_00.png b/output/sample/letter r_20230412183122_e000493_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6c44fb8143eb35af57927cb0deeb2de90a8eda86 Binary files /dev/null and b/output/sample/letter r_20230412183122_e000493_00.png differ diff --git a/output/sample/letter r_20230412183125_e000494_00.png b/output/sample/letter r_20230412183125_e000494_00.png new file mode 100644 index 0000000000000000000000000000000000000000..097c6d338d337129dad9f64c0ffabe6f72335e02 Binary files /dev/null and b/output/sample/letter r_20230412183125_e000494_00.png differ diff --git a/output/sample/letter r_20230412183129_e000495_00.png b/output/sample/letter r_20230412183129_e000495_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a47c2f9aa1e09edc80c5edd51af8df3dc3dff47b Binary files /dev/null and b/output/sample/letter r_20230412183129_e000495_00.png differ diff --git a/output/sample/letter r_20230412183132_e000496_00.png b/output/sample/letter r_20230412183132_e000496_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9b64f684588b277162cf3a77413b968ba5c3df13 Binary files /dev/null and b/output/sample/letter r_20230412183132_e000496_00.png differ diff --git a/output/sample/letter r_20230412183135_e000497_00.png b/output/sample/letter r_20230412183135_e000497_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f151af4bc8bd27c20c3ca0d5b5c096ca9a732dad Binary files /dev/null and b/output/sample/letter r_20230412183135_e000497_00.png differ diff --git a/output/sample/letter r_20230412183138_e000498_00.png b/output/sample/letter r_20230412183138_e000498_00.png new file mode 100644 index 0000000000000000000000000000000000000000..31e8307b919739ec4023585011aa97503adf7e66 Binary files /dev/null and b/output/sample/letter r_20230412183138_e000498_00.png differ diff --git a/output/sample/letter r_20230412183141_e000499_00.png b/output/sample/letter r_20230412183141_e000499_00.png new file mode 100644 index 0000000000000000000000000000000000000000..037ead61cef6f16d63c47d223906eed491b6a0e3 Binary files /dev/null and b/output/sample/letter r_20230412183141_e000499_00.png differ diff --git a/output/sample/letter r_20230412183144_e000500_00.png b/output/sample/letter r_20230412183144_e000500_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d6a82b60e075b7918c28a32c5bd424bb7a5a5054 Binary files /dev/null and b/output/sample/letter r_20230412183144_e000500_00.png differ diff --git a/output/sample/letter r_20230412183147_e000501_00.png b/output/sample/letter r_20230412183147_e000501_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d57ea34659c35e613d7afff19225147630cc55f5 Binary files /dev/null and b/output/sample/letter r_20230412183147_e000501_00.png differ diff --git a/output/sample/letter r_20230412183150_e000502_00.png b/output/sample/letter r_20230412183150_e000502_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3e18c03ce12dbce52c57cdf033b9c5a395d0b5fb Binary files /dev/null and b/output/sample/letter r_20230412183150_e000502_00.png differ diff --git a/output/sample/letter r_20230412183153_e000503_00.png b/output/sample/letter r_20230412183153_e000503_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1ace37791a31019a67cfb4f1ca9b0353a8633eab Binary files /dev/null and b/output/sample/letter r_20230412183153_e000503_00.png differ diff --git a/output/sample/letter r_20230412183156_e000504_00.png b/output/sample/letter r_20230412183156_e000504_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a55b273af60469dd4c29881e39e469ff852fadb9 Binary files /dev/null and b/output/sample/letter r_20230412183156_e000504_00.png differ diff --git a/output/sample/letter r_20230412183200_e000505_00.png b/output/sample/letter r_20230412183200_e000505_00.png new file mode 100644 index 0000000000000000000000000000000000000000..161745625fc44d2ba00ea771d8b281eb364b9998 Binary files /dev/null and b/output/sample/letter r_20230412183200_e000505_00.png differ diff --git a/output/sample/letter r_20230412183203_e000506_00.png b/output/sample/letter r_20230412183203_e000506_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b600f11955f75c6a54cb9f9755780c51c0f2f989 Binary files /dev/null and b/output/sample/letter r_20230412183203_e000506_00.png differ diff --git a/output/sample/letter r_20230412183206_e000507_00.png b/output/sample/letter r_20230412183206_e000507_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b24aa9630cf3615eb14846a4968b496bbe66bffc Binary files /dev/null and b/output/sample/letter r_20230412183206_e000507_00.png differ diff --git a/output/sample/letter r_20230412183209_e000508_00.png b/output/sample/letter r_20230412183209_e000508_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5ba4e2934bea2056d07332d365983bf2cc15aa44 Binary files /dev/null and b/output/sample/letter r_20230412183209_e000508_00.png differ diff --git a/output/sample/letter r_20230412183212_e000509_00.png b/output/sample/letter r_20230412183212_e000509_00.png new file mode 100644 index 0000000000000000000000000000000000000000..356492667fca072726b072b31f532eaf51ccc15c Binary files /dev/null and b/output/sample/letter r_20230412183212_e000509_00.png differ diff --git a/output/sample/letter r_20230412183215_e000510_00.png b/output/sample/letter r_20230412183215_e000510_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cc82553dbcbee6074c47ada45386777aad7d5f03 Binary files /dev/null and b/output/sample/letter r_20230412183215_e000510_00.png differ diff --git a/output/sample/letter r_20230412183218_e000511_00.png b/output/sample/letter r_20230412183218_e000511_00.png new file mode 100644 index 0000000000000000000000000000000000000000..142a7d665f22704e9192bbad7864f1a07ea39676 Binary files /dev/null and b/output/sample/letter r_20230412183218_e000511_00.png differ diff --git a/output/sample/letter r_20230412183221_e000512_00.png b/output/sample/letter r_20230412183221_e000512_00.png new file mode 100644 index 0000000000000000000000000000000000000000..787b1c24fd313ed46d65d55f378c4708d453930e Binary files /dev/null and b/output/sample/letter r_20230412183221_e000512_00.png differ diff --git a/output/sample/letter r_20230412183224_e000513_00.png b/output/sample/letter r_20230412183224_e000513_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6e53f2ea5f7e55b68b38fea9b1ee97dc84edf82e Binary files /dev/null and b/output/sample/letter r_20230412183224_e000513_00.png differ diff --git a/output/sample/letter r_20230412183227_e000514_00.png b/output/sample/letter r_20230412183227_e000514_00.png new file mode 100644 index 0000000000000000000000000000000000000000..314861e3242db527a61f0a8a6a6d6413521419d2 Binary files /dev/null and b/output/sample/letter r_20230412183227_e000514_00.png differ diff --git a/output/sample/letter r_20230412183230_e000515_00.png b/output/sample/letter r_20230412183230_e000515_00.png new file mode 100644 index 0000000000000000000000000000000000000000..815506a0ff92e47365554817b0095c563a697a5e Binary files /dev/null and b/output/sample/letter r_20230412183230_e000515_00.png differ diff --git a/output/sample/letter r_20230412183233_e000516_00.png b/output/sample/letter r_20230412183233_e000516_00.png new file mode 100644 index 0000000000000000000000000000000000000000..75f4b7e99b7255523317d7cdfa3f3cf9c6007214 Binary files /dev/null and b/output/sample/letter r_20230412183233_e000516_00.png differ diff --git a/output/sample/letter r_20230412183237_e000517_00.png b/output/sample/letter r_20230412183237_e000517_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6694647604340528b8be036078851b7e85c64d12 Binary files /dev/null and b/output/sample/letter r_20230412183237_e000517_00.png differ diff --git a/output/sample/letter r_20230412183240_e000518_00.png b/output/sample/letter r_20230412183240_e000518_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6d18e78619a16297c315f282be7e16340f26245c Binary files /dev/null and b/output/sample/letter r_20230412183240_e000518_00.png differ diff --git a/output/sample/letter r_20230412183243_e000519_00.png b/output/sample/letter r_20230412183243_e000519_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7e53d185ee885655457780a9aab061510750fe5e Binary files /dev/null and b/output/sample/letter r_20230412183243_e000519_00.png differ diff --git a/output/sample/letter r_20230412183246_e000520_00.png b/output/sample/letter r_20230412183246_e000520_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6610399c9543976458d89e0efa4c568227937bc3 Binary files /dev/null and b/output/sample/letter r_20230412183246_e000520_00.png differ diff --git a/output/sample/letter r_20230412183249_e000521_00.png b/output/sample/letter r_20230412183249_e000521_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1b180f0e427d71954cf65eb0e2007fbe8e635035 Binary files /dev/null and b/output/sample/letter r_20230412183249_e000521_00.png differ diff --git a/output/sample/letter r_20230412183252_e000522_00.png b/output/sample/letter r_20230412183252_e000522_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7d81817b4e611a4dc20b6ae70fbf5837edd2b0e2 Binary files /dev/null and b/output/sample/letter r_20230412183252_e000522_00.png differ diff --git a/output/sample/letter r_20230412183255_e000523_00.png b/output/sample/letter r_20230412183255_e000523_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e20d5dca5959d8b741bae53e1950c7a5261bf730 Binary files /dev/null and b/output/sample/letter r_20230412183255_e000523_00.png differ diff --git a/output/sample/letter r_20230412183258_e000524_00.png b/output/sample/letter r_20230412183258_e000524_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c07a914a024870c76afce9c4b5fc27fcdae782bc Binary files /dev/null and b/output/sample/letter r_20230412183258_e000524_00.png differ diff --git a/output/sample/letter r_20230412183302_e000525_00.png b/output/sample/letter r_20230412183302_e000525_00.png new file mode 100644 index 0000000000000000000000000000000000000000..42aa40bccde75c7c37b5af7ac2918fcae407e3d2 Binary files /dev/null and b/output/sample/letter r_20230412183302_e000525_00.png differ diff --git a/output/sample/letter r_20230412183305_e000526_00.png b/output/sample/letter r_20230412183305_e000526_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dddf93d6f27d9137077e5b47aa664e8810106754 Binary files /dev/null and b/output/sample/letter r_20230412183305_e000526_00.png differ diff --git a/output/sample/letter r_20230412183308_e000527_00.png b/output/sample/letter r_20230412183308_e000527_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d892ed402607c650a22ad93f4ed0b57dc7f5d25d Binary files /dev/null and b/output/sample/letter r_20230412183308_e000527_00.png differ diff --git a/output/sample/letter r_20230412183311_e000528_00.png b/output/sample/letter r_20230412183311_e000528_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c4f298d37d8dfec141523cc28c1a5faf2990c465 Binary files /dev/null and b/output/sample/letter r_20230412183311_e000528_00.png differ diff --git a/output/sample/letter r_20230412183314_e000529_00.png b/output/sample/letter r_20230412183314_e000529_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0e29d1c55cb380c37331d8b0d5cf9eafa7634c62 Binary files /dev/null and b/output/sample/letter r_20230412183314_e000529_00.png differ diff --git a/output/sample/letter r_20230412183317_e000530_00.png b/output/sample/letter r_20230412183317_e000530_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b58f3691e25f1d48bdc9b69cb6b25e2c737c097c Binary files /dev/null and b/output/sample/letter r_20230412183317_e000530_00.png differ diff --git a/output/sample/letter r_20230412183320_e000531_00.png b/output/sample/letter r_20230412183320_e000531_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a434dea8bc929b05db2782643a8edddb3beb79cf Binary files /dev/null and b/output/sample/letter r_20230412183320_e000531_00.png differ diff --git a/output/sample/letter r_20230412183323_e000532_00.png b/output/sample/letter r_20230412183323_e000532_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ef46256cfb4a6e29ce3215ae0d3b0328b8bc92db Binary files /dev/null and b/output/sample/letter r_20230412183323_e000532_00.png differ diff --git a/output/sample/letter r_20230412183326_e000533_00.png b/output/sample/letter r_20230412183326_e000533_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bcb7ab180641c19f3f892c4998187afecdf8a7d2 Binary files /dev/null and b/output/sample/letter r_20230412183326_e000533_00.png differ diff --git a/output/sample/letter r_20230412183329_e000534_00.png b/output/sample/letter r_20230412183329_e000534_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e12143708df2e3cf4bc1b82d38f14f484dd43b5f Binary files /dev/null and b/output/sample/letter r_20230412183329_e000534_00.png differ diff --git a/output/sample/letter r_20230412183332_e000535_00.png b/output/sample/letter r_20230412183332_e000535_00.png new file mode 100644 index 0000000000000000000000000000000000000000..61b37bfb052d7652d40cb689a97840384a10d96a Binary files /dev/null and b/output/sample/letter r_20230412183332_e000535_00.png differ diff --git a/output/sample/letter r_20230412183335_e000536_00.png b/output/sample/letter r_20230412183335_e000536_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9a71430e5be00ec8bd9be8ee224ce072132360f5 Binary files /dev/null and b/output/sample/letter r_20230412183335_e000536_00.png differ diff --git a/output/sample/letter r_20230412183338_e000537_00.png b/output/sample/letter r_20230412183338_e000537_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9c69c13449490044f1d8a0c713f031eea90fe1fa Binary files /dev/null and b/output/sample/letter r_20230412183338_e000537_00.png differ diff --git a/output/sample/letter r_20230412183341_e000538_00.png b/output/sample/letter r_20230412183341_e000538_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f20b970864f06ff47a0b2d9642dba737882f9729 Binary files /dev/null and b/output/sample/letter r_20230412183341_e000538_00.png differ diff --git a/output/sample/letter r_20230412183344_e000539_00.png b/output/sample/letter r_20230412183344_e000539_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b9a8d35d51e5ebccfd4b9749d22fe1804488b26c Binary files /dev/null and b/output/sample/letter r_20230412183344_e000539_00.png differ diff --git a/output/sample/letter r_20230412183347_e000540_00.png b/output/sample/letter r_20230412183347_e000540_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ffca37c5b174fd4c803d62847db4fe83903e6b77 Binary files /dev/null and b/output/sample/letter r_20230412183347_e000540_00.png differ diff --git a/output/sample/letter r_20230412183350_e000541_00.png b/output/sample/letter r_20230412183350_e000541_00.png new file mode 100644 index 0000000000000000000000000000000000000000..30b79da54b9c0b77ad78f1c3e2437cc3b4a4c951 Binary files /dev/null and b/output/sample/letter r_20230412183350_e000541_00.png differ diff --git a/output/sample/letter r_20230412183353_e000542_00.png b/output/sample/letter r_20230412183353_e000542_00.png new file mode 100644 index 0000000000000000000000000000000000000000..363b678bc4d3f7d2f8e7682e7f766544a7933426 Binary files /dev/null and b/output/sample/letter r_20230412183353_e000542_00.png differ diff --git a/output/sample/letter r_20230412183356_e000543_00.png b/output/sample/letter r_20230412183356_e000543_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2c7ff38a84988228ae90760b2df80e6c832c7e83 Binary files /dev/null and b/output/sample/letter r_20230412183356_e000543_00.png differ diff --git a/output/sample/letter r_20230412183359_e000544_00.png b/output/sample/letter r_20230412183359_e000544_00.png new file mode 100644 index 0000000000000000000000000000000000000000..249db6c16125158c6a17c29ba0bc623e2da3dc7d Binary files /dev/null and b/output/sample/letter r_20230412183359_e000544_00.png differ diff --git a/output/sample/letter r_20230412183402_e000545_00.png b/output/sample/letter r_20230412183402_e000545_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4ef228bcd6ac592a99a5d5fcac23ec31b5c9ee27 Binary files /dev/null and b/output/sample/letter r_20230412183402_e000545_00.png differ diff --git a/output/sample/letter r_20230412183406_e000546_00.png b/output/sample/letter r_20230412183406_e000546_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2f31fd60b56baccd0c0d6f99695c4c2b74557087 Binary files /dev/null and b/output/sample/letter r_20230412183406_e000546_00.png differ diff --git a/output/sample/letter r_20230412183409_e000547_00.png b/output/sample/letter r_20230412183409_e000547_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c3c6ae416fe09cf420113824c8bae36a79b73854 Binary files /dev/null and b/output/sample/letter r_20230412183409_e000547_00.png differ diff --git a/output/sample/letter r_20230412183412_e000548_00.png b/output/sample/letter r_20230412183412_e000548_00.png new file mode 100644 index 0000000000000000000000000000000000000000..fd88344bae48cb303247b67c1f89561e5ad161d1 Binary files /dev/null and b/output/sample/letter r_20230412183412_e000548_00.png differ diff --git a/output/sample/letter r_20230412183415_e000549_00.png b/output/sample/letter r_20230412183415_e000549_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8fb3738738097dd905d7d91a95f4c06612141a91 Binary files /dev/null and b/output/sample/letter r_20230412183415_e000549_00.png differ diff --git a/output/sample/letter r_20230412183418_e000550_00.png b/output/sample/letter r_20230412183418_e000550_00.png new file mode 100644 index 0000000000000000000000000000000000000000..af9b8ef85107f36c7106a9b96226af3339493342 Binary files /dev/null and b/output/sample/letter r_20230412183418_e000550_00.png differ diff --git a/output/sample/letter r_20230412183421_e000551_00.png b/output/sample/letter r_20230412183421_e000551_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c3a503fb3ffab75a4933352dfaeaeb714f29b175 Binary files /dev/null and b/output/sample/letter r_20230412183421_e000551_00.png differ diff --git a/output/sample/letter r_20230412183424_e000552_00.png b/output/sample/letter r_20230412183424_e000552_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2908140f7488918f178f8e75041287e3c936a1e6 Binary files /dev/null and b/output/sample/letter r_20230412183424_e000552_00.png differ diff --git a/output/sample/letter r_20230412183427_e000553_00.png b/output/sample/letter r_20230412183427_e000553_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d4084ba4eb98ef8c471200739a9db0b816aaea12 Binary files /dev/null and b/output/sample/letter r_20230412183427_e000553_00.png differ diff --git a/output/sample/letter r_20230412183430_e000554_00.png b/output/sample/letter r_20230412183430_e000554_00.png new file mode 100644 index 0000000000000000000000000000000000000000..302d290acb0bfc65b93fba584f5da155a5c2eb83 Binary files /dev/null and b/output/sample/letter r_20230412183430_e000554_00.png differ diff --git a/output/sample/letter r_20230412183433_e000555_00.png b/output/sample/letter r_20230412183433_e000555_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f64c97ba6ab3a068a2b0505cb9a32ca5a9390ae2 Binary files /dev/null and b/output/sample/letter r_20230412183433_e000555_00.png differ diff --git a/output/sample/letter r_20230412183436_e000556_00.png b/output/sample/letter r_20230412183436_e000556_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bc13bc8e4e7a54f5667cd0b73aad119c0c7bdd0d Binary files /dev/null and b/output/sample/letter r_20230412183436_e000556_00.png differ diff --git a/output/sample/letter r_20230412183439_e000557_00.png b/output/sample/letter r_20230412183439_e000557_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dacd2660b39f0e1df42734053b8d2404b46e44b6 Binary files /dev/null and b/output/sample/letter r_20230412183439_e000557_00.png differ diff --git a/output/sample/letter r_20230412183442_e000558_00.png b/output/sample/letter r_20230412183442_e000558_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d09ff266a5d1868f5f82014fcfae8c78c9fe6e5f Binary files /dev/null and b/output/sample/letter r_20230412183442_e000558_00.png differ diff --git a/output/sample/letter r_20230412183445_e000559_00.png b/output/sample/letter r_20230412183445_e000559_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f38e6e6928477f63e1647c8196f41e803e1b28a7 Binary files /dev/null and b/output/sample/letter r_20230412183445_e000559_00.png differ diff --git a/output/sample/letter r_20230412183448_e000560_00.png b/output/sample/letter r_20230412183448_e000560_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b1fef0a85f5b7e5ac0311db0c8d4cd21f824d729 Binary files /dev/null and b/output/sample/letter r_20230412183448_e000560_00.png differ diff --git a/output/sample/letter r_20230412183451_e000561_00.png b/output/sample/letter r_20230412183451_e000561_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bb401b6e105b8c42e332bb194651ccfccdb7949b Binary files /dev/null and b/output/sample/letter r_20230412183451_e000561_00.png differ diff --git a/output/sample/letter r_20230412183455_e000562_00.png b/output/sample/letter r_20230412183455_e000562_00.png new file mode 100644 index 0000000000000000000000000000000000000000..293ab45a6046f1d16602d2414b83c2e32875f53b Binary files /dev/null and b/output/sample/letter r_20230412183455_e000562_00.png differ diff --git a/output/sample/letter r_20230412183458_e000563_00.png b/output/sample/letter r_20230412183458_e000563_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ab7d805dccb7d83fb6f817af11386e7ed4df507a Binary files /dev/null and b/output/sample/letter r_20230412183458_e000563_00.png differ diff --git a/output/sample/letter r_20230412183501_e000564_00.png b/output/sample/letter r_20230412183501_e000564_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2c0e9f9ca88c1f8793a1b6347809649cad19eb08 Binary files /dev/null and b/output/sample/letter r_20230412183501_e000564_00.png differ diff --git a/output/sample/letter r_20230412183504_e000565_00.png b/output/sample/letter r_20230412183504_e000565_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3fb39a557c84abe975c8d336519274c31108511d Binary files /dev/null and b/output/sample/letter r_20230412183504_e000565_00.png differ diff --git a/output/sample/letter r_20230412183507_e000566_00.png b/output/sample/letter r_20230412183507_e000566_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d4390a85d0f08ecb747e91b5732d6d8d2fcf6718 Binary files /dev/null and b/output/sample/letter r_20230412183507_e000566_00.png differ diff --git a/output/sample/letter r_20230412183510_e000567_00.png b/output/sample/letter r_20230412183510_e000567_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8f460307576bdca843f2d7bea6dd77bf61f5d4f9 Binary files /dev/null and b/output/sample/letter r_20230412183510_e000567_00.png differ diff --git a/output/sample/letter r_20230412183513_e000568_00.png b/output/sample/letter r_20230412183513_e000568_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5534e71f6cfe7057fb8748670a8202e240954d9f Binary files /dev/null and b/output/sample/letter r_20230412183513_e000568_00.png differ diff --git a/output/sample/letter r_20230412183516_e000569_00.png b/output/sample/letter r_20230412183516_e000569_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a498f69a5ee45e7c9c2e1a032825426642693314 Binary files /dev/null and b/output/sample/letter r_20230412183516_e000569_00.png differ diff --git a/output/sample/letter r_20230412183519_e000570_00.png b/output/sample/letter r_20230412183519_e000570_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a2c56fd5eff2b35c6874d45954e49ff50f7b8ca3 Binary files /dev/null and b/output/sample/letter r_20230412183519_e000570_00.png differ diff --git a/output/sample/letter r_20230412183522_e000571_00.png b/output/sample/letter r_20230412183522_e000571_00.png new file mode 100644 index 0000000000000000000000000000000000000000..64041713baa109fa56e2a61d2e8f09c947a5f7f7 Binary files /dev/null and b/output/sample/letter r_20230412183522_e000571_00.png differ diff --git a/output/sample/letter r_20230412183525_e000572_00.png b/output/sample/letter r_20230412183525_e000572_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f479d42d813643d699ed17b5d335dade2bb7169d Binary files /dev/null and b/output/sample/letter r_20230412183525_e000572_00.png differ diff --git a/output/sample/letter r_20230412183528_e000573_00.png b/output/sample/letter r_20230412183528_e000573_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e4d4203fe551dd08a9bbe449c1b505561c0acdf0 Binary files /dev/null and b/output/sample/letter r_20230412183528_e000573_00.png differ diff --git a/output/sample/letter r_20230412183531_e000574_00.png b/output/sample/letter r_20230412183531_e000574_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a17f12644070393c0fc142a72f42768577cfc4c2 Binary files /dev/null and b/output/sample/letter r_20230412183531_e000574_00.png differ diff --git a/output/sample/letter r_20230412183534_e000575_00.png b/output/sample/letter r_20230412183534_e000575_00.png new file mode 100644 index 0000000000000000000000000000000000000000..087237b94d534296fce99545892162a35f7365ab Binary files /dev/null and b/output/sample/letter r_20230412183534_e000575_00.png differ diff --git a/output/sample/letter r_20230412183537_e000576_00.png b/output/sample/letter r_20230412183537_e000576_00.png new file mode 100644 index 0000000000000000000000000000000000000000..df42def4968c603efba0d9adc227bb798b0fe51f Binary files /dev/null and b/output/sample/letter r_20230412183537_e000576_00.png differ diff --git a/output/sample/letter r_20230412183540_e000577_00.png b/output/sample/letter r_20230412183540_e000577_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ab70f2e59f67569e73b2b9ee063ab30c208f8589 Binary files /dev/null and b/output/sample/letter r_20230412183540_e000577_00.png differ diff --git a/output/sample/letter r_20230412183543_e000578_00.png b/output/sample/letter r_20230412183543_e000578_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d099177fd3bd2e132cbae51cc0ac16d67f2bae3a Binary files /dev/null and b/output/sample/letter r_20230412183543_e000578_00.png differ diff --git a/output/sample/letter r_20230412183546_e000579_00.png b/output/sample/letter r_20230412183546_e000579_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8ac2ba06f7415fdeda2a4a1e138299a8a4b379e3 Binary files /dev/null and b/output/sample/letter r_20230412183546_e000579_00.png differ diff --git a/output/sample/letter r_20230412183549_e000580_00.png b/output/sample/letter r_20230412183549_e000580_00.png new file mode 100644 index 0000000000000000000000000000000000000000..75ca83133216cc743f9370b5ec70c87c338e3c23 Binary files /dev/null and b/output/sample/letter r_20230412183549_e000580_00.png differ diff --git a/output/sample/letter r_20230412183553_e000581_00.png b/output/sample/letter r_20230412183553_e000581_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dbfbdc4aef1458118605c3af29aa50af8489eea2 Binary files /dev/null and b/output/sample/letter r_20230412183553_e000581_00.png differ diff --git a/output/sample/letter r_20230412183556_e000582_00.png b/output/sample/letter r_20230412183556_e000582_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dbe851dd5bfe234da81e13a7299fd4790be9785b Binary files /dev/null and b/output/sample/letter r_20230412183556_e000582_00.png differ diff --git a/output/sample/letter r_20230412183559_e000583_00.png b/output/sample/letter r_20230412183559_e000583_00.png new file mode 100644 index 0000000000000000000000000000000000000000..63c2bd4c38ec322cec8ecbc348463232916120a3 Binary files /dev/null and b/output/sample/letter r_20230412183559_e000583_00.png differ diff --git a/output/sample/letter r_20230412183602_e000584_00.png b/output/sample/letter r_20230412183602_e000584_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4c8da471ef493bbdf30fc0e2323360cb0053cd43 Binary files /dev/null and b/output/sample/letter r_20230412183602_e000584_00.png differ diff --git a/output/sample/letter r_20230412183605_e000585_00.png b/output/sample/letter r_20230412183605_e000585_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5f4244644b39a3edaeeed058ca17340425d18195 Binary files /dev/null and b/output/sample/letter r_20230412183605_e000585_00.png differ diff --git a/output/sample/letter r_20230412183608_e000586_00.png b/output/sample/letter r_20230412183608_e000586_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f7b4a571d54400bdb45f12fe0125fcf6da467ab9 Binary files /dev/null and b/output/sample/letter r_20230412183608_e000586_00.png differ diff --git a/output/sample/letter r_20230412183611_e000587_00.png b/output/sample/letter r_20230412183611_e000587_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6bb5146beac84bc091ab01dd8310cdacca4ee2e1 Binary files /dev/null and b/output/sample/letter r_20230412183611_e000587_00.png differ diff --git a/output/sample/letter r_20230412183614_e000588_00.png b/output/sample/letter r_20230412183614_e000588_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cca93cb5352f8ce8e471a169ead39bc3f9a8c79e Binary files /dev/null and b/output/sample/letter r_20230412183614_e000588_00.png differ diff --git a/output/sample/letter r_20230412183617_e000589_00.png b/output/sample/letter r_20230412183617_e000589_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3fd77bff090f66bd5dac70f95122038cc2e4f030 Binary files /dev/null and b/output/sample/letter r_20230412183617_e000589_00.png differ diff --git a/output/sample/letter r_20230412183620_e000590_00.png b/output/sample/letter r_20230412183620_e000590_00.png new file mode 100644 index 0000000000000000000000000000000000000000..299871082a4788d3ebcfa13551751b37d3134c94 Binary files /dev/null and b/output/sample/letter r_20230412183620_e000590_00.png differ diff --git a/output/sample/letter r_20230412183623_e000591_00.png b/output/sample/letter r_20230412183623_e000591_00.png new file mode 100644 index 0000000000000000000000000000000000000000..971bbc68c96aefa79030c6f85e7a5f7b6c685800 Binary files /dev/null and b/output/sample/letter r_20230412183623_e000591_00.png differ diff --git a/output/sample/letter r_20230412183626_e000592_00.png b/output/sample/letter r_20230412183626_e000592_00.png new file mode 100644 index 0000000000000000000000000000000000000000..01f3a4153cde0fee832165fb05b585e76e0c0b14 Binary files /dev/null and b/output/sample/letter r_20230412183626_e000592_00.png differ diff --git a/output/sample/letter r_20230412183629_e000593_00.png b/output/sample/letter r_20230412183629_e000593_00.png new file mode 100644 index 0000000000000000000000000000000000000000..41c4750ac60dc331d121ea5c4cb7fe31656e5ea2 Binary files /dev/null and b/output/sample/letter r_20230412183629_e000593_00.png differ diff --git a/output/sample/letter r_20230412183632_e000594_00.png b/output/sample/letter r_20230412183632_e000594_00.png new file mode 100644 index 0000000000000000000000000000000000000000..82f62b9290c9f5e8bc6807cab91a34e3ea00961e Binary files /dev/null and b/output/sample/letter r_20230412183632_e000594_00.png differ diff --git a/output/sample/letter r_20230412183635_e000595_00.png b/output/sample/letter r_20230412183635_e000595_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2a5da6911942e9c23bddccd37a614ab42721829b Binary files /dev/null and b/output/sample/letter r_20230412183635_e000595_00.png differ diff --git a/output/sample/letter r_20230412183638_e000596_00.png b/output/sample/letter r_20230412183638_e000596_00.png new file mode 100644 index 0000000000000000000000000000000000000000..583d1d395a29d787901f3db27e668a7ac5916571 Binary files /dev/null and b/output/sample/letter r_20230412183638_e000596_00.png differ diff --git a/output/sample/letter r_20230412183641_e000597_00.png b/output/sample/letter r_20230412183641_e000597_00.png new file mode 100644 index 0000000000000000000000000000000000000000..98a0b9185b93fe4ea8b4e29e15faf298b2cebb9b Binary files /dev/null and b/output/sample/letter r_20230412183641_e000597_00.png differ diff --git a/output/sample/letter r_20230412183644_e000598_00.png b/output/sample/letter r_20230412183644_e000598_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8f34aa6dbdc667df3f8957212e870ecebb82998d Binary files /dev/null and b/output/sample/letter r_20230412183644_e000598_00.png differ diff --git a/output/sample/letter r_20230412183647_e000599_00.png b/output/sample/letter r_20230412183647_e000599_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6a6b68516a8c7f35fff2591499811f5d72f68c81 Binary files /dev/null and b/output/sample/letter r_20230412183647_e000599_00.png differ diff --git a/output/sample/letter r_20230412183650_e000600_00.png b/output/sample/letter r_20230412183650_e000600_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6299e3387eecf383de2e95db09da971f23f14b12 Binary files /dev/null and b/output/sample/letter r_20230412183650_e000600_00.png differ diff --git a/output/sample/letter r_20230412183653_e000601_00.png b/output/sample/letter r_20230412183653_e000601_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ee1c2d7f8267d23c29ee5e0798dfa6a2e5e7c2d0 Binary files /dev/null and b/output/sample/letter r_20230412183653_e000601_00.png differ diff --git a/output/sample/letter r_20230412183656_e000602_00.png b/output/sample/letter r_20230412183656_e000602_00.png new file mode 100644 index 0000000000000000000000000000000000000000..919f9b9975d18a1b34b1c897bfc5231c08548a07 Binary files /dev/null and b/output/sample/letter r_20230412183656_e000602_00.png differ diff --git a/output/sample/letter r_20230412183659_e000603_00.png b/output/sample/letter r_20230412183659_e000603_00.png new file mode 100644 index 0000000000000000000000000000000000000000..834b7ea85e4a1274d45b9870d9014d26bd4a32c4 Binary files /dev/null and b/output/sample/letter r_20230412183659_e000603_00.png differ diff --git a/output/sample/letter r_20230412183702_e000604_00.png b/output/sample/letter r_20230412183702_e000604_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ac1c9555e1b6346857e2ec8cd3f5377c65e6aeac Binary files /dev/null and b/output/sample/letter r_20230412183702_e000604_00.png differ diff --git a/output/sample/letter r_20230412183705_e000605_00.png b/output/sample/letter r_20230412183705_e000605_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3182f0b54d09560b616541e302c4ec1f9d84b261 Binary files /dev/null and b/output/sample/letter r_20230412183705_e000605_00.png differ diff --git a/output/sample/letter r_20230412183708_e000606_00.png b/output/sample/letter r_20230412183708_e000606_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8a10c50d66ab3936d6d39d4d4fe6c2a8b3674bd7 Binary files /dev/null and b/output/sample/letter r_20230412183708_e000606_00.png differ diff --git a/output/sample/letter r_20230412183711_e000607_00.png b/output/sample/letter r_20230412183711_e000607_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e76f7668354c4d92181333c27dc08093105364f5 Binary files /dev/null and b/output/sample/letter r_20230412183711_e000607_00.png differ diff --git a/output/sample/letter r_20230412183714_e000608_00.png b/output/sample/letter r_20230412183714_e000608_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2902edfe2ab6f832af7f738f1d6c03d2eb980265 Binary files /dev/null and b/output/sample/letter r_20230412183714_e000608_00.png differ diff --git a/output/sample/letter r_20230412183718_e000609_00.png b/output/sample/letter r_20230412183718_e000609_00.png new file mode 100644 index 0000000000000000000000000000000000000000..59aea54d43a310f820025d63b0d1024f8b31601f Binary files /dev/null and b/output/sample/letter r_20230412183718_e000609_00.png differ diff --git a/output/sample/letter r_20230412183721_e000610_00.png b/output/sample/letter r_20230412183721_e000610_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ad6a3ef511cf68b96a3ff71035e0249b51d268f2 Binary files /dev/null and b/output/sample/letter r_20230412183721_e000610_00.png differ diff --git a/output/sample/letter r_20230412183724_e000611_00.png b/output/sample/letter r_20230412183724_e000611_00.png new file mode 100644 index 0000000000000000000000000000000000000000..febdb22aefd463dbae154079a82d2cb8ad2ca916 Binary files /dev/null and b/output/sample/letter r_20230412183724_e000611_00.png differ diff --git a/output/sample/letter r_20230412183727_e000612_00.png b/output/sample/letter r_20230412183727_e000612_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c9f67e0cf6a92455d5b3a057080cb31b52a25ca1 Binary files /dev/null and b/output/sample/letter r_20230412183727_e000612_00.png differ diff --git a/output/sample/letter r_20230412183730_e000613_00.png b/output/sample/letter r_20230412183730_e000613_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2dbcedba17ebb50bc6ad28fe2c4ae4d26c34316f Binary files /dev/null and b/output/sample/letter r_20230412183730_e000613_00.png differ diff --git a/output/sample/letter r_20230412183733_e000614_00.png b/output/sample/letter r_20230412183733_e000614_00.png new file mode 100644 index 0000000000000000000000000000000000000000..08f1f015605138a2d5208f5124696f56d748cf1d Binary files /dev/null and b/output/sample/letter r_20230412183733_e000614_00.png differ diff --git a/output/sample/letter r_20230412183736_e000615_00.png b/output/sample/letter r_20230412183736_e000615_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ebaa71877df6a929b1f160500efe4c029309d3fd Binary files /dev/null and b/output/sample/letter r_20230412183736_e000615_00.png differ diff --git a/output/sample/letter r_20230412183739_e000616_00.png b/output/sample/letter r_20230412183739_e000616_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1077b2591e956c1dd84bd8fa2ea130c65b9ea7ce Binary files /dev/null and b/output/sample/letter r_20230412183739_e000616_00.png differ diff --git a/output/sample/letter r_20230412183742_e000617_00.png b/output/sample/letter r_20230412183742_e000617_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0326547701dc89753b1379af9d479ecc08a906a3 Binary files /dev/null and b/output/sample/letter r_20230412183742_e000617_00.png differ diff --git a/output/sample/letter r_20230412183745_e000618_00.png b/output/sample/letter r_20230412183745_e000618_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4c47463ac7268fbffdd4c26163b60459b79de2fc Binary files /dev/null and b/output/sample/letter r_20230412183745_e000618_00.png differ diff --git a/output/sample/letter r_20230412183748_e000619_00.png b/output/sample/letter r_20230412183748_e000619_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3f44073d55e4107b28fd962b9dce3a3cf94c0fb8 Binary files /dev/null and b/output/sample/letter r_20230412183748_e000619_00.png differ diff --git a/output/sample/letter r_20230412183751_e000620_00.png b/output/sample/letter r_20230412183751_e000620_00.png new file mode 100644 index 0000000000000000000000000000000000000000..abba0a8a1cdb62c4f16fdef9c298544c17167b67 Binary files /dev/null and b/output/sample/letter r_20230412183751_e000620_00.png differ diff --git a/output/sample/letter r_20230412183754_e000621_00.png b/output/sample/letter r_20230412183754_e000621_00.png new file mode 100644 index 0000000000000000000000000000000000000000..60382adcd40cd0c4983fc9d87cdb8546f9752d19 Binary files /dev/null and b/output/sample/letter r_20230412183754_e000621_00.png differ diff --git a/output/sample/letter r_20230412183757_e000622_00.png b/output/sample/letter r_20230412183757_e000622_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ce5e7e8844f6fe787766a6b931621fb57cf190dc Binary files /dev/null and b/output/sample/letter r_20230412183757_e000622_00.png differ diff --git a/output/sample/letter r_20230412183800_e000623_00.png b/output/sample/letter r_20230412183800_e000623_00.png new file mode 100644 index 0000000000000000000000000000000000000000..53842d4bb9103bf7b1d95b0e20280044c5a4ce6e Binary files /dev/null and b/output/sample/letter r_20230412183800_e000623_00.png differ diff --git a/output/sample/letter r_20230412183803_e000624_00.png b/output/sample/letter r_20230412183803_e000624_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e5a9de51ff66040e8b39480bc67a4a30f4571e11 Binary files /dev/null and b/output/sample/letter r_20230412183803_e000624_00.png differ diff --git a/output/sample/letter r_20230412183806_e000625_00.png b/output/sample/letter r_20230412183806_e000625_00.png new file mode 100644 index 0000000000000000000000000000000000000000..24215eff4be3be88d0764ccea3a80ebe907a438f Binary files /dev/null and b/output/sample/letter r_20230412183806_e000625_00.png differ diff --git a/output/sample/letter r_20230412183809_e000626_00.png b/output/sample/letter r_20230412183809_e000626_00.png new file mode 100644 index 0000000000000000000000000000000000000000..130e069b995c8e4f4e6b5bcbbc1bc4a369e56999 Binary files /dev/null and b/output/sample/letter r_20230412183809_e000626_00.png differ diff --git a/output/sample/letter r_20230412183812_e000627_00.png b/output/sample/letter r_20230412183812_e000627_00.png new file mode 100644 index 0000000000000000000000000000000000000000..801398bda638100ca571f247b26347a4c6ca810a Binary files /dev/null and b/output/sample/letter r_20230412183812_e000627_00.png differ diff --git a/output/sample/letter r_20230412183815_e000628_00.png b/output/sample/letter r_20230412183815_e000628_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cc01e9bebfb9b61903908fab929537b23b3ccf0c Binary files /dev/null and b/output/sample/letter r_20230412183815_e000628_00.png differ diff --git a/output/sample/letter r_20230412183818_e000629_00.png b/output/sample/letter r_20230412183818_e000629_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f6263c3af477abc9dd72b857372aa87ddeaf058e Binary files /dev/null and b/output/sample/letter r_20230412183818_e000629_00.png differ diff --git a/output/sample/letter r_20230412183821_e000630_00.png b/output/sample/letter r_20230412183821_e000630_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e8d13ca815b4023b334a8b6743a0bda3ba73c2c4 Binary files /dev/null and b/output/sample/letter r_20230412183821_e000630_00.png differ diff --git a/output/sample/letter r_20230412183824_e000631_00.png b/output/sample/letter r_20230412183824_e000631_00.png new file mode 100644 index 0000000000000000000000000000000000000000..47e0c43164c569924d5135a53307ceca8ea281e5 Binary files /dev/null and b/output/sample/letter r_20230412183824_e000631_00.png differ diff --git a/output/sample/letter r_20230412183827_e000632_00.png b/output/sample/letter r_20230412183827_e000632_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3fce93d5edbc634dcb724be04737cc335e5b1b79 Binary files /dev/null and b/output/sample/letter r_20230412183827_e000632_00.png differ diff --git a/output/sample/letter r_20230412183830_e000633_00.png b/output/sample/letter r_20230412183830_e000633_00.png new file mode 100644 index 0000000000000000000000000000000000000000..49b6eb17b7dacb564d9704098ce4931631484d13 Binary files /dev/null and b/output/sample/letter r_20230412183830_e000633_00.png differ diff --git a/output/sample/letter r_20230412183833_e000634_00.png b/output/sample/letter r_20230412183833_e000634_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7102c16c24b081f4ec64d3bb25f525f354b2b172 Binary files /dev/null and b/output/sample/letter r_20230412183833_e000634_00.png differ diff --git a/output/sample/letter r_20230412183836_e000635_00.png b/output/sample/letter r_20230412183836_e000635_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bdb19281711a26bcf12607667788eae818343fc3 Binary files /dev/null and b/output/sample/letter r_20230412183836_e000635_00.png differ diff --git a/output/sample/letter r_20230412183839_e000636_00.png b/output/sample/letter r_20230412183839_e000636_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f9a0c7859c4618db97e6bb6a22ca4c6d57b4a86e Binary files /dev/null and b/output/sample/letter r_20230412183839_e000636_00.png differ diff --git a/output/sample/letter r_20230412183842_e000637_00.png b/output/sample/letter r_20230412183842_e000637_00.png new file mode 100644 index 0000000000000000000000000000000000000000..adef35321ff779bc771d26d9d999c73f89565740 Binary files /dev/null and b/output/sample/letter r_20230412183842_e000637_00.png differ diff --git a/output/sample/letter r_20230412183845_e000638_00.png b/output/sample/letter r_20230412183845_e000638_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4a19d386134561eb77e5286d1b9095aa3719ab55 Binary files /dev/null and b/output/sample/letter r_20230412183845_e000638_00.png differ diff --git a/output/sample/letter r_20230412183848_e000639_00.png b/output/sample/letter r_20230412183848_e000639_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ea29ecd3fdd6f098205db12fbeff508b2f4598ef Binary files /dev/null and b/output/sample/letter r_20230412183848_e000639_00.png differ diff --git a/output/sample/letter r_20230412183851_e000640_00.png b/output/sample/letter r_20230412183851_e000640_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5af42ba3caa983de7650520f1706c3c0596ca3e1 Binary files /dev/null and b/output/sample/letter r_20230412183851_e000640_00.png differ diff --git a/output/sample/letter r_20230412183854_e000641_00.png b/output/sample/letter r_20230412183854_e000641_00.png new file mode 100644 index 0000000000000000000000000000000000000000..303233ebc0c611bc5e6f36ccea33e29115d731c1 Binary files /dev/null and b/output/sample/letter r_20230412183854_e000641_00.png differ diff --git a/output/sample/letter r_20230412183857_e000642_00.png b/output/sample/letter r_20230412183857_e000642_00.png new file mode 100644 index 0000000000000000000000000000000000000000..72fac8206017e3c72ec2f979c1c1e8ebf5633e6c Binary files /dev/null and b/output/sample/letter r_20230412183857_e000642_00.png differ diff --git a/output/sample/letter r_20230412183900_e000643_00.png b/output/sample/letter r_20230412183900_e000643_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bd5ddf83c8cab45add1cf877939896be852cd4d8 Binary files /dev/null and b/output/sample/letter r_20230412183900_e000643_00.png differ diff --git a/output/sample/letter r_20230412183903_e000644_00.png b/output/sample/letter r_20230412183903_e000644_00.png new file mode 100644 index 0000000000000000000000000000000000000000..55d2c87e9a9aabc7a8c95452c7e764eab92cf5a6 Binary files /dev/null and b/output/sample/letter r_20230412183903_e000644_00.png differ diff --git a/output/sample/letter r_20230412183906_e000645_00.png b/output/sample/letter r_20230412183906_e000645_00.png new file mode 100644 index 0000000000000000000000000000000000000000..bfa423bb325ac7eaa4ebf3cc44a8674ad949abbf Binary files /dev/null and b/output/sample/letter r_20230412183906_e000645_00.png differ diff --git a/output/sample/letter r_20230412183909_e000646_00.png b/output/sample/letter r_20230412183909_e000646_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f4cd7c41a9aa638ae32d5535facb7212109e49a1 Binary files /dev/null and b/output/sample/letter r_20230412183909_e000646_00.png differ diff --git a/output/sample/letter r_20230412183912_e000647_00.png b/output/sample/letter r_20230412183912_e000647_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cfd8993aeeaefc6e2ef4ab78a36fa4619fc225e3 Binary files /dev/null and b/output/sample/letter r_20230412183912_e000647_00.png differ diff --git a/output/sample/letter r_20230412183915_e000648_00.png b/output/sample/letter r_20230412183915_e000648_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f1699c40b658c49a89124b708a0f204c7d04402b Binary files /dev/null and b/output/sample/letter r_20230412183915_e000648_00.png differ diff --git a/output/sample/letter r_20230412183918_e000649_00.png b/output/sample/letter r_20230412183918_e000649_00.png new file mode 100644 index 0000000000000000000000000000000000000000..72b45a1b60451ae0c07a3ca196f27a642ec79fc5 Binary files /dev/null and b/output/sample/letter r_20230412183918_e000649_00.png differ diff --git a/output/sample/letter r_20230412183922_e000650_00.png b/output/sample/letter r_20230412183922_e000650_00.png new file mode 100644 index 0000000000000000000000000000000000000000..47332da2d959a78d60db5b23527266fc8938befb Binary files /dev/null and b/output/sample/letter r_20230412183922_e000650_00.png differ diff --git a/output/sample/letter r_20230412183925_e000651_00.png b/output/sample/letter r_20230412183925_e000651_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b22becf04c6247338accf3cb26cf3eecec438904 Binary files /dev/null and b/output/sample/letter r_20230412183925_e000651_00.png differ diff --git a/output/sample/letter r_20230412183928_e000652_00.png b/output/sample/letter r_20230412183928_e000652_00.png new file mode 100644 index 0000000000000000000000000000000000000000..79a9794b12c70a7cc24f8f777691f21ebbfc4e38 Binary files /dev/null and b/output/sample/letter r_20230412183928_e000652_00.png differ diff --git a/output/sample/letter r_20230412183931_e000653_00.png b/output/sample/letter r_20230412183931_e000653_00.png new file mode 100644 index 0000000000000000000000000000000000000000..93825b8607893f2274d2637f81a47f2edaa7df6e Binary files /dev/null and b/output/sample/letter r_20230412183931_e000653_00.png differ diff --git a/output/sample/letter r_20230412183934_e000654_00.png b/output/sample/letter r_20230412183934_e000654_00.png new file mode 100644 index 0000000000000000000000000000000000000000..fc3f7051cb582dd7dbe0f07345d0f710b8ec4430 Binary files /dev/null and b/output/sample/letter r_20230412183934_e000654_00.png differ diff --git a/output/sample/letter r_20230412183937_e000655_00.png b/output/sample/letter r_20230412183937_e000655_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c24d55e1e062536fa9817213f75751fee1dfafaa Binary files /dev/null and b/output/sample/letter r_20230412183937_e000655_00.png differ diff --git a/output/sample/letter r_20230412183940_e000656_00.png b/output/sample/letter r_20230412183940_e000656_00.png new file mode 100644 index 0000000000000000000000000000000000000000..54360690cf020196b5f9aad3712745e85debd0be Binary files /dev/null and b/output/sample/letter r_20230412183940_e000656_00.png differ diff --git a/output/sample/letter r_20230412183943_e000657_00.png b/output/sample/letter r_20230412183943_e000657_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8fafca6864e39f6c10975beecc7bcfcc2e8cfc3b Binary files /dev/null and b/output/sample/letter r_20230412183943_e000657_00.png differ diff --git a/output/sample/letter r_20230412183946_e000658_00.png b/output/sample/letter r_20230412183946_e000658_00.png new file mode 100644 index 0000000000000000000000000000000000000000..16fcd95a562dae64a23acefca6641dc8036d10b9 Binary files /dev/null and b/output/sample/letter r_20230412183946_e000658_00.png differ diff --git a/output/sample/letter r_20230412183949_e000659_00.png b/output/sample/letter r_20230412183949_e000659_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8f1b09a6b7db6d396ec499cdf32432d56ed0c8a1 Binary files /dev/null and b/output/sample/letter r_20230412183949_e000659_00.png differ diff --git a/output/sample/letter r_20230412183952_e000660_00.png b/output/sample/letter r_20230412183952_e000660_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ea812fb89d30ad80e1ae642179538a5cfcf4d6dd Binary files /dev/null and b/output/sample/letter r_20230412183952_e000660_00.png differ diff --git a/output/sample/letter r_20230412183955_e000661_00.png b/output/sample/letter r_20230412183955_e000661_00.png new file mode 100644 index 0000000000000000000000000000000000000000..44e39f2a27217ca24be506a666198907fde5d464 Binary files /dev/null and b/output/sample/letter r_20230412183955_e000661_00.png differ diff --git a/output/sample/letter r_20230412183958_e000662_00.png b/output/sample/letter r_20230412183958_e000662_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9f98e0e46c61b09108fe436eff0477a5a993b09a Binary files /dev/null and b/output/sample/letter r_20230412183958_e000662_00.png differ diff --git a/output/sample/letter r_20230412184001_e000663_00.png b/output/sample/letter r_20230412184001_e000663_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cd69dcd6b6074275b05e87ec0c5deb1a55d36040 Binary files /dev/null and b/output/sample/letter r_20230412184001_e000663_00.png differ diff --git a/output/sample/letter r_20230412184004_e000664_00.png b/output/sample/letter r_20230412184004_e000664_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b1205d7a441fbe877fb20940ccd5cd68d7fa8722 Binary files /dev/null and b/output/sample/letter r_20230412184004_e000664_00.png differ diff --git a/output/sample/letter r_20230412184007_e000665_00.png b/output/sample/letter r_20230412184007_e000665_00.png new file mode 100644 index 0000000000000000000000000000000000000000..efec977cfa28471222add77605ad791d4c6ce276 Binary files /dev/null and b/output/sample/letter r_20230412184007_e000665_00.png differ diff --git a/output/sample/letter r_20230412184010_e000666_00.png b/output/sample/letter r_20230412184010_e000666_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0bf7d126804ec2389ccd3283fe370a02472fdc63 Binary files /dev/null and b/output/sample/letter r_20230412184010_e000666_00.png differ diff --git a/output/sample/letter r_20230412184013_e000667_00.png b/output/sample/letter r_20230412184013_e000667_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5768fdcc383684b12fce08f6082c8355fab03a8f Binary files /dev/null and b/output/sample/letter r_20230412184013_e000667_00.png differ diff --git a/output/sample/letter r_20230412184016_e000668_00.png b/output/sample/letter r_20230412184016_e000668_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f2e5917944735e1951f5e9c98f48e483f3aeb7ad Binary files /dev/null and b/output/sample/letter r_20230412184016_e000668_00.png differ diff --git a/output/sample/letter r_20230412184019_e000669_00.png b/output/sample/letter r_20230412184019_e000669_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8ead180e3aae974a7813de075a2b2d87fb3b72a8 Binary files /dev/null and b/output/sample/letter r_20230412184019_e000669_00.png differ diff --git a/output/sample/letter r_20230412184021_e000670_00.png b/output/sample/letter r_20230412184021_e000670_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c795ebb0ea9cd6308e5a5c0ae2d76911283952ba Binary files /dev/null and b/output/sample/letter r_20230412184021_e000670_00.png differ diff --git a/output/sample/letter r_20230412184024_e000671_00.png b/output/sample/letter r_20230412184024_e000671_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9c4b2a41709929b2469b996d5d19b0324a08f4c3 Binary files /dev/null and b/output/sample/letter r_20230412184024_e000671_00.png differ diff --git a/output/sample/letter r_20230412184028_e000672_00.png b/output/sample/letter r_20230412184028_e000672_00.png new file mode 100644 index 0000000000000000000000000000000000000000..42fdf83aaafaeebdc8586203bf0a30f41970fae2 Binary files /dev/null and b/output/sample/letter r_20230412184028_e000672_00.png differ diff --git a/output/sample/letter r_20230412184031_e000673_00.png b/output/sample/letter r_20230412184031_e000673_00.png new file mode 100644 index 0000000000000000000000000000000000000000..17d433bf9a9fff8aabd29a29852cf44145f81a3a Binary files /dev/null and b/output/sample/letter r_20230412184031_e000673_00.png differ diff --git a/output/sample/letter r_20230412184034_e000674_00.png b/output/sample/letter r_20230412184034_e000674_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1013f31dc9c3db26c141851e40c6e6f1b09e814d Binary files /dev/null and b/output/sample/letter r_20230412184034_e000674_00.png differ diff --git a/output/sample/letter r_20230412184037_e000675_00.png b/output/sample/letter r_20230412184037_e000675_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1e77ea7bb8ce340991485b7debb4d69d060bd94a Binary files /dev/null and b/output/sample/letter r_20230412184037_e000675_00.png differ diff --git a/output/sample/letter r_20230412184040_e000676_00.png b/output/sample/letter r_20230412184040_e000676_00.png new file mode 100644 index 0000000000000000000000000000000000000000..742c3c9e3e08fa697df88af1a252c0027d75bcab Binary files /dev/null and b/output/sample/letter r_20230412184040_e000676_00.png differ diff --git a/output/sample/letter r_20230412184043_e000677_00.png b/output/sample/letter r_20230412184043_e000677_00.png new file mode 100644 index 0000000000000000000000000000000000000000..62b10f16f0fe69a0a7b78f5a0e4e2d6e587a05fb Binary files /dev/null and b/output/sample/letter r_20230412184043_e000677_00.png differ diff --git a/output/sample/letter r_20230412184046_e000678_00.png b/output/sample/letter r_20230412184046_e000678_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4b1abd1976dee7bd2c61cb0a107f8c8435e8a600 Binary files /dev/null and b/output/sample/letter r_20230412184046_e000678_00.png differ diff --git a/output/sample/letter r_20230412184049_e000679_00.png b/output/sample/letter r_20230412184049_e000679_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c97c00aa9fc1858cb2956c7c95eca42151eeabd5 Binary files /dev/null and b/output/sample/letter r_20230412184049_e000679_00.png differ diff --git a/output/sample/letter r_20230412184052_e000680_00.png b/output/sample/letter r_20230412184052_e000680_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6790db64a3ebd32b091e5b6928820c0e18230d3d Binary files /dev/null and b/output/sample/letter r_20230412184052_e000680_00.png differ diff --git a/output/sample/letter r_20230412184055_e000681_00.png b/output/sample/letter r_20230412184055_e000681_00.png new file mode 100644 index 0000000000000000000000000000000000000000..de78659b3121fc335d1ff4a34791b79d34f5509c Binary files /dev/null and b/output/sample/letter r_20230412184055_e000681_00.png differ diff --git a/output/sample/letter r_20230412184058_e000682_00.png b/output/sample/letter r_20230412184058_e000682_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6f6df12002cee6ad92b4c8d0c03e713d275c35b3 Binary files /dev/null and b/output/sample/letter r_20230412184058_e000682_00.png differ diff --git a/output/sample/letter r_20230412184101_e000683_00.png b/output/sample/letter r_20230412184101_e000683_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f6a44c062f21443de76013e0b389bed9d72ffb4f Binary files /dev/null and b/output/sample/letter r_20230412184101_e000683_00.png differ diff --git a/output/sample/letter r_20230412184104_e000684_00.png b/output/sample/letter r_20230412184104_e000684_00.png new file mode 100644 index 0000000000000000000000000000000000000000..32a3fcdf06c5809df0d1641ccd6cba4114eb01cb Binary files /dev/null and b/output/sample/letter r_20230412184104_e000684_00.png differ diff --git a/output/sample/letter r_20230412184107_e000685_00.png b/output/sample/letter r_20230412184107_e000685_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e377becde1b08538f3b4146d927e5264026424d6 Binary files /dev/null and b/output/sample/letter r_20230412184107_e000685_00.png differ diff --git a/output/sample/letter r_20230412184110_e000686_00.png b/output/sample/letter r_20230412184110_e000686_00.png new file mode 100644 index 0000000000000000000000000000000000000000..694d54691c042dfdceaa3cefcc64101a2ef0952e Binary files /dev/null and b/output/sample/letter r_20230412184110_e000686_00.png differ diff --git a/output/sample/letter r_20230412184113_e000687_00.png b/output/sample/letter r_20230412184113_e000687_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a4652acb50956ac46415e94e7fdbc803f3bd534a Binary files /dev/null and b/output/sample/letter r_20230412184113_e000687_00.png differ diff --git a/output/sample/letter r_20230412184116_e000688_00.png b/output/sample/letter r_20230412184116_e000688_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e7e0c4efd6b25b8c307dc1a807d8a8d0f3a4e96c Binary files /dev/null and b/output/sample/letter r_20230412184116_e000688_00.png differ diff --git a/output/sample/letter r_20230412184119_e000689_00.png b/output/sample/letter r_20230412184119_e000689_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0cf10b33f77a566fc441d85653c4870242b93102 Binary files /dev/null and b/output/sample/letter r_20230412184119_e000689_00.png differ diff --git a/output/sample/letter r_20230412184122_e000690_00.png b/output/sample/letter r_20230412184122_e000690_00.png new file mode 100644 index 0000000000000000000000000000000000000000..23503d40d3ab72cd0717384586886073a365e71d Binary files /dev/null and b/output/sample/letter r_20230412184122_e000690_00.png differ diff --git a/output/sample/letter r_20230412184125_e000691_00.png b/output/sample/letter r_20230412184125_e000691_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4bb968f346f21b390b441d577b2e5173e1947fa6 Binary files /dev/null and b/output/sample/letter r_20230412184125_e000691_00.png differ diff --git a/output/sample/letter r_20230412184128_e000692_00.png b/output/sample/letter r_20230412184128_e000692_00.png new file mode 100644 index 0000000000000000000000000000000000000000..555d15c6761dacdb3c41a5c8ff22e58aa75df666 Binary files /dev/null and b/output/sample/letter r_20230412184128_e000692_00.png differ diff --git a/output/sample/letter r_20230412184131_e000693_00.png b/output/sample/letter r_20230412184131_e000693_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6ee2f0c43b0a26d28649d7bfcb2665ab27c9d0bf Binary files /dev/null and b/output/sample/letter r_20230412184131_e000693_00.png differ diff --git a/output/sample/letter r_20230412184134_e000694_00.png b/output/sample/letter r_20230412184134_e000694_00.png new file mode 100644 index 0000000000000000000000000000000000000000..9b4ee5e88d835a0d6747d1eedd7f78ab1996bf50 Binary files /dev/null and b/output/sample/letter r_20230412184134_e000694_00.png differ diff --git a/output/sample/letter r_20230412184137_e000695_00.png b/output/sample/letter r_20230412184137_e000695_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8ebfe70263503e1862cc1b67a7c6e3af43de3a35 Binary files /dev/null and b/output/sample/letter r_20230412184137_e000695_00.png differ diff --git a/output/sample/letter r_20230412184140_e000696_00.png b/output/sample/letter r_20230412184140_e000696_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3f1367a1b9339c8392b49300d8a136994ffc0f20 Binary files /dev/null and b/output/sample/letter r_20230412184140_e000696_00.png differ diff --git a/output/sample/letter r_20230412184143_e000697_00.png b/output/sample/letter r_20230412184143_e000697_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a2f9acc05574ee864e5b09cc352caa632218e1bb Binary files /dev/null and b/output/sample/letter r_20230412184143_e000697_00.png differ diff --git a/output/sample/letter r_20230412184146_e000698_00.png b/output/sample/letter r_20230412184146_e000698_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c9dada35f6e6ac6d68b8361ed7e99bdfbe50dbc7 Binary files /dev/null and b/output/sample/letter r_20230412184146_e000698_00.png differ diff --git a/output/sample/letter r_20230412184149_e000699_00.png b/output/sample/letter r_20230412184149_e000699_00.png new file mode 100644 index 0000000000000000000000000000000000000000..06f7a01c0f6ec2cf43ea9b8d8f3e0d7e7fa3300e Binary files /dev/null and b/output/sample/letter r_20230412184149_e000699_00.png differ diff --git a/output/sample/letter r_20230412184152_e000700_00.png b/output/sample/letter r_20230412184152_e000700_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f5aa7c97adef23b0791fd75e3e81ae4e7ed7b096 Binary files /dev/null and b/output/sample/letter r_20230412184152_e000700_00.png differ diff --git a/output/sample/letter r_20230412184155_e000701_00.png b/output/sample/letter r_20230412184155_e000701_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4dba7783be1de335f0ee9e77dc2ecb04f403865b Binary files /dev/null and b/output/sample/letter r_20230412184155_e000701_00.png differ diff --git a/output/sample/letter r_20230412184158_e000702_00.png b/output/sample/letter r_20230412184158_e000702_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ac936fd60d9c01fbb5c43e3b3adbb6ff1f4828ba Binary files /dev/null and b/output/sample/letter r_20230412184158_e000702_00.png differ diff --git a/output/sample/letter r_20230412184201_e000703_00.png b/output/sample/letter r_20230412184201_e000703_00.png new file mode 100644 index 0000000000000000000000000000000000000000..860b8e179be1f9defd27b9c08eb7fe72adb91d8a Binary files /dev/null and b/output/sample/letter r_20230412184201_e000703_00.png differ diff --git a/output/sample/letter r_20230412184204_e000704_00.png b/output/sample/letter r_20230412184204_e000704_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ed2d0e5c9349c415e94e95541a188f80e403dc3f Binary files /dev/null and b/output/sample/letter r_20230412184204_e000704_00.png differ diff --git a/output/sample/letter r_20230412184207_e000705_00.png b/output/sample/letter r_20230412184207_e000705_00.png new file mode 100644 index 0000000000000000000000000000000000000000..14ffed54d9d87daed984a881aad5098628448658 Binary files /dev/null and b/output/sample/letter r_20230412184207_e000705_00.png differ diff --git a/output/sample/letter r_20230412184210_e000706_00.png b/output/sample/letter r_20230412184210_e000706_00.png new file mode 100644 index 0000000000000000000000000000000000000000..883a91028efb3d56f632ce26596abf5f044b831e Binary files /dev/null and b/output/sample/letter r_20230412184210_e000706_00.png differ diff --git a/output/sample/letter r_20230412184213_e000707_00.png b/output/sample/letter r_20230412184213_e000707_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d3828d689805b6dcdfe0c92c42723f07ae5eee10 Binary files /dev/null and b/output/sample/letter r_20230412184213_e000707_00.png differ diff --git a/output/sample/letter r_20230412184216_e000708_00.png b/output/sample/letter r_20230412184216_e000708_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a65714a60c5cc590226d2540a6bb1162db0945f1 Binary files /dev/null and b/output/sample/letter r_20230412184216_e000708_00.png differ diff --git a/output/sample/letter r_20230412184219_e000709_00.png b/output/sample/letter r_20230412184219_e000709_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8a01905d8262f36a86dd8aecba6253a772bb95bd Binary files /dev/null and b/output/sample/letter r_20230412184219_e000709_00.png differ diff --git a/output/sample/letter r_20230412184222_e000710_00.png b/output/sample/letter r_20230412184222_e000710_00.png new file mode 100644 index 0000000000000000000000000000000000000000..fe6ea30f814480a9ce1cac88be410d165d9daee8 Binary files /dev/null and b/output/sample/letter r_20230412184222_e000710_00.png differ diff --git a/output/sample/letter r_20230412184225_e000711_00.png b/output/sample/letter r_20230412184225_e000711_00.png new file mode 100644 index 0000000000000000000000000000000000000000..45c656096465925ef1a9f8dfbc9bdc09d9c456d4 Binary files /dev/null and b/output/sample/letter r_20230412184225_e000711_00.png differ diff --git a/output/sample/letter r_20230412184228_e000712_00.png b/output/sample/letter r_20230412184228_e000712_00.png new file mode 100644 index 0000000000000000000000000000000000000000..405da758dfe95208267a4f271dc20d901d443fbb Binary files /dev/null and b/output/sample/letter r_20230412184228_e000712_00.png differ diff --git a/output/sample/letter r_20230412184231_e000713_00.png b/output/sample/letter r_20230412184231_e000713_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c7355bcf52a9ad0821ecff011934366d8c0fb3cb Binary files /dev/null and b/output/sample/letter r_20230412184231_e000713_00.png differ diff --git a/output/sample/letter r_20230412184234_e000714_00.png b/output/sample/letter r_20230412184234_e000714_00.png new file mode 100644 index 0000000000000000000000000000000000000000..74085158b82a0d3d5a97ce841b2cc2b85281cc9f Binary files /dev/null and b/output/sample/letter r_20230412184234_e000714_00.png differ diff --git a/output/sample/letter r_20230412184237_e000715_00.png b/output/sample/letter r_20230412184237_e000715_00.png new file mode 100644 index 0000000000000000000000000000000000000000..064e0ef71ab80ff4ef7918d345978ce036253e39 Binary files /dev/null and b/output/sample/letter r_20230412184237_e000715_00.png differ diff --git a/output/sample/letter r_20230412184240_e000716_00.png b/output/sample/letter r_20230412184240_e000716_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dd282d8da6384e0bfe8cd0b3099fb1fe7dae1fa1 Binary files /dev/null and b/output/sample/letter r_20230412184240_e000716_00.png differ diff --git a/output/sample/letter r_20230412184243_e000717_00.png b/output/sample/letter r_20230412184243_e000717_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8f6005380ebd7673675052737e4ad26a68bf5d9e Binary files /dev/null and b/output/sample/letter r_20230412184243_e000717_00.png differ diff --git a/output/sample/letter r_20230412184246_e000718_00.png b/output/sample/letter r_20230412184246_e000718_00.png new file mode 100644 index 0000000000000000000000000000000000000000..726d38eead80203bd13467d54f23dd1c113ca43e Binary files /dev/null and b/output/sample/letter r_20230412184246_e000718_00.png differ diff --git a/output/sample/letter r_20230412184249_e000719_00.png b/output/sample/letter r_20230412184249_e000719_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b9b2dd3e77a8d39096415eb5e993adb9f53a6597 Binary files /dev/null and b/output/sample/letter r_20230412184249_e000719_00.png differ diff --git a/output/sample/letter r_20230412184252_e000720_00.png b/output/sample/letter r_20230412184252_e000720_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b59f580919f02f36e84f275b909b140b81898e78 Binary files /dev/null and b/output/sample/letter r_20230412184252_e000720_00.png differ diff --git a/output/sample/letter r_20230412184255_e000721_00.png b/output/sample/letter r_20230412184255_e000721_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ffc19bc1e8d1d7c6a402396980634e5607290048 Binary files /dev/null and b/output/sample/letter r_20230412184255_e000721_00.png differ diff --git a/output/sample/letter r_20230412184258_e000722_00.png b/output/sample/letter r_20230412184258_e000722_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8a48681b67fa93b58c657515c3d44294a5c8a911 Binary files /dev/null and b/output/sample/letter r_20230412184258_e000722_00.png differ diff --git a/output/sample/letter r_20230412184301_e000723_00.png b/output/sample/letter r_20230412184301_e000723_00.png new file mode 100644 index 0000000000000000000000000000000000000000..60e83f9fcb1b13b8d85614ab950a165477841b2a Binary files /dev/null and b/output/sample/letter r_20230412184301_e000723_00.png differ diff --git a/output/sample/letter r_20230412184304_e000724_00.png b/output/sample/letter r_20230412184304_e000724_00.png new file mode 100644 index 0000000000000000000000000000000000000000..241021a9099ce43074328ec2665f3d5eac25eec4 Binary files /dev/null and b/output/sample/letter r_20230412184304_e000724_00.png differ diff --git a/output/sample/letter r_20230412184307_e000725_00.png b/output/sample/letter r_20230412184307_e000725_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ff7882f889d054418047c573378542ad00dfddd7 Binary files /dev/null and b/output/sample/letter r_20230412184307_e000725_00.png differ diff --git a/output/sample/letter r_20230412184310_e000726_00.png b/output/sample/letter r_20230412184310_e000726_00.png new file mode 100644 index 0000000000000000000000000000000000000000..643bde4beda15ecf44ef8e625b0d84daf9c2a089 Binary files /dev/null and b/output/sample/letter r_20230412184310_e000726_00.png differ diff --git a/output/sample/letter r_20230412184313_e000727_00.png b/output/sample/letter r_20230412184313_e000727_00.png new file mode 100644 index 0000000000000000000000000000000000000000..e54dc4e4dbadd0ff2e587a01ace26dafbff2ec13 Binary files /dev/null and b/output/sample/letter r_20230412184313_e000727_00.png differ diff --git a/output/sample/letter r_20230412184316_e000728_00.png b/output/sample/letter r_20230412184316_e000728_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0695a7fe64ec133badb6bfc49769640718ca2c88 Binary files /dev/null and b/output/sample/letter r_20230412184316_e000728_00.png differ diff --git a/output/sample/letter r_20230412184319_e000729_00.png b/output/sample/letter r_20230412184319_e000729_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0272b4aeb03baeab711020a046489dbe77882da2 Binary files /dev/null and b/output/sample/letter r_20230412184319_e000729_00.png differ diff --git a/output/sample/letter r_20230412184322_e000730_00.png b/output/sample/letter r_20230412184322_e000730_00.png new file mode 100644 index 0000000000000000000000000000000000000000..370019cba67a01bcccfcfd65acf4260244f763ac Binary files /dev/null and b/output/sample/letter r_20230412184322_e000730_00.png differ diff --git a/output/sample/letter r_20230412184325_e000731_00.png b/output/sample/letter r_20230412184325_e000731_00.png new file mode 100644 index 0000000000000000000000000000000000000000..cce20c73ac3924ec3ad0c6fcc40256c0aea6404c Binary files /dev/null and b/output/sample/letter r_20230412184325_e000731_00.png differ diff --git a/output/sample/letter r_20230412184328_e000732_00.png b/output/sample/letter r_20230412184328_e000732_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6d65d3d5ba750fe8476bd4f1ba7caa351b192a70 Binary files /dev/null and b/output/sample/letter r_20230412184328_e000732_00.png differ diff --git a/output/sample/letter r_20230412184331_e000733_00.png b/output/sample/letter r_20230412184331_e000733_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a0b75bf932b8b7d99ffcbf736599fe2c30b27dc9 Binary files /dev/null and b/output/sample/letter r_20230412184331_e000733_00.png differ diff --git a/output/sample/letter r_20230412184334_e000734_00.png b/output/sample/letter r_20230412184334_e000734_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8126e4d82e7eaf6fc1e6e1767425c324a4c988b8 Binary files /dev/null and b/output/sample/letter r_20230412184334_e000734_00.png differ diff --git a/output/sample/letter r_20230412184337_e000735_00.png b/output/sample/letter r_20230412184337_e000735_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8109f3ed7405fa702d15eb304026fcd580338125 Binary files /dev/null and b/output/sample/letter r_20230412184337_e000735_00.png differ diff --git a/output/sample/letter r_20230412184340_e000736_00.png b/output/sample/letter r_20230412184340_e000736_00.png new file mode 100644 index 0000000000000000000000000000000000000000..55b4c95ec8ff69d7061e79472be5815fceeaa5ae Binary files /dev/null and b/output/sample/letter r_20230412184340_e000736_00.png differ diff --git a/output/sample/letter r_20230412184343_e000737_00.png b/output/sample/letter r_20230412184343_e000737_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3b7385bd704331b120a8ddc77e6284aca4a0fd16 Binary files /dev/null and b/output/sample/letter r_20230412184343_e000737_00.png differ diff --git a/output/sample/letter r_20230412184346_e000738_00.png b/output/sample/letter r_20230412184346_e000738_00.png new file mode 100644 index 0000000000000000000000000000000000000000..83ffcd5857155cc0299e37911cb546fc45bd758c Binary files /dev/null and b/output/sample/letter r_20230412184346_e000738_00.png differ diff --git a/output/sample/letter r_20230412184349_e000739_00.png b/output/sample/letter r_20230412184349_e000739_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a838a5c288c6dc92d00553ea5353d63a70038e5a Binary files /dev/null and b/output/sample/letter r_20230412184349_e000739_00.png differ diff --git a/output/sample/letter r_20230412184352_e000740_00.png b/output/sample/letter r_20230412184352_e000740_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0bcdd78c7bc3a1b91233c0fe3685e993d78ae411 Binary files /dev/null and b/output/sample/letter r_20230412184352_e000740_00.png differ diff --git a/output/sample/letter r_20230412184355_e000741_00.png b/output/sample/letter r_20230412184355_e000741_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3ccf64e8105be09976c9f2050b9f44e7dc17caae Binary files /dev/null and b/output/sample/letter r_20230412184355_e000741_00.png differ diff --git a/output/sample/letter r_20230412184358_e000742_00.png b/output/sample/letter r_20230412184358_e000742_00.png new file mode 100644 index 0000000000000000000000000000000000000000..fa94913887f7b42342785473f74b7ede32dcad63 Binary files /dev/null and b/output/sample/letter r_20230412184358_e000742_00.png differ diff --git a/output/sample/letter r_20230412184401_e000743_00.png b/output/sample/letter r_20230412184401_e000743_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4fa0b651b1dfe14e11760c0218b44fd39c62f050 Binary files /dev/null and b/output/sample/letter r_20230412184401_e000743_00.png differ diff --git a/output/sample/letter r_20230412184404_e000744_00.png b/output/sample/letter r_20230412184404_e000744_00.png new file mode 100644 index 0000000000000000000000000000000000000000..65d38a0f5340603d72aaf24bce1075a9ff06e56b Binary files /dev/null and b/output/sample/letter r_20230412184404_e000744_00.png differ diff --git a/output/sample/letter r_20230412184407_e000745_00.png b/output/sample/letter r_20230412184407_e000745_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4f8f0cac0b7d6b019ec2faec726de923a702541d Binary files /dev/null and b/output/sample/letter r_20230412184407_e000745_00.png differ diff --git a/output/sample/letter r_20230412184410_e000746_00.png b/output/sample/letter r_20230412184410_e000746_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5231aa687cefb8a7b500751f13e4b4497b238888 Binary files /dev/null and b/output/sample/letter r_20230412184410_e000746_00.png differ diff --git a/output/sample/letter r_20230412184413_e000747_00.png b/output/sample/letter r_20230412184413_e000747_00.png new file mode 100644 index 0000000000000000000000000000000000000000..1270302aea19d7be14c1045aa5515454e498c681 Binary files /dev/null and b/output/sample/letter r_20230412184413_e000747_00.png differ diff --git a/output/sample/letter r_20230412184416_e000748_00.png b/output/sample/letter r_20230412184416_e000748_00.png new file mode 100644 index 0000000000000000000000000000000000000000..93f6afc774d258e2212337fc72b5408495d67beb Binary files /dev/null and b/output/sample/letter r_20230412184416_e000748_00.png differ diff --git a/output/sample/letter r_20230412184419_e000749_00.png b/output/sample/letter r_20230412184419_e000749_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0b1a458f11b6249fbc77d15750ef9b25a62bf666 Binary files /dev/null and b/output/sample/letter r_20230412184419_e000749_00.png differ diff --git a/output/sample/letter r_20230412184422_e000750_00.png b/output/sample/letter r_20230412184422_e000750_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3b2877d686ad392cab4dbeee15f177ae82322c70 Binary files /dev/null and b/output/sample/letter r_20230412184422_e000750_00.png differ diff --git a/output/sample/letter r_20230412184425_e000751_00.png b/output/sample/letter r_20230412184425_e000751_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6af593e69b093032783f47b4734456fd8765c136 Binary files /dev/null and b/output/sample/letter r_20230412184425_e000751_00.png differ diff --git a/output/sample/letter r_20230412184428_e000752_00.png b/output/sample/letter r_20230412184428_e000752_00.png new file mode 100644 index 0000000000000000000000000000000000000000..46d590c2b79c1d2a022401d57b7335b60df22316 Binary files /dev/null and b/output/sample/letter r_20230412184428_e000752_00.png differ diff --git a/output/sample/letter r_20230412184431_e000753_00.png b/output/sample/letter r_20230412184431_e000753_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dc0ed597ae2a9108b818dee4ac0247d8244d2a18 Binary files /dev/null and b/output/sample/letter r_20230412184431_e000753_00.png differ diff --git a/output/sample/letter r_20230412184434_e000754_00.png b/output/sample/letter r_20230412184434_e000754_00.png new file mode 100644 index 0000000000000000000000000000000000000000..de8e502b6e5aa4ed8d700a6912a6cdb4c82a79ef Binary files /dev/null and b/output/sample/letter r_20230412184434_e000754_00.png differ diff --git a/output/sample/letter r_20230412184437_e000755_00.png b/output/sample/letter r_20230412184437_e000755_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b11b9c1e0e29d0936f8138e069455ddb7babc4ad Binary files /dev/null and b/output/sample/letter r_20230412184437_e000755_00.png differ diff --git a/output/sample/letter r_20230412184440_e000756_00.png b/output/sample/letter r_20230412184440_e000756_00.png new file mode 100644 index 0000000000000000000000000000000000000000..316ab15987782fbac9141212c5ea4a89a6629bcf Binary files /dev/null and b/output/sample/letter r_20230412184440_e000756_00.png differ diff --git a/output/sample/letter r_20230412184443_e000757_00.png b/output/sample/letter r_20230412184443_e000757_00.png new file mode 100644 index 0000000000000000000000000000000000000000..c825c0350be01f9521ba8bf54d3910fa0f865ad7 Binary files /dev/null and b/output/sample/letter r_20230412184443_e000757_00.png differ diff --git a/output/sample/letter r_20230412184446_e000758_00.png b/output/sample/letter r_20230412184446_e000758_00.png new file mode 100644 index 0000000000000000000000000000000000000000..f1a61f3a569416271b626937e319bb745f93de39 Binary files /dev/null and b/output/sample/letter r_20230412184446_e000758_00.png differ diff --git a/output/sample/letter r_20230412184449_e000759_00.png b/output/sample/letter r_20230412184449_e000759_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2d5ad61119e67d1a6696c99366939dded1f8ab4a Binary files /dev/null and b/output/sample/letter r_20230412184449_e000759_00.png differ diff --git a/output/sample/letter r_20230412184452_e000760_00.png b/output/sample/letter r_20230412184452_e000760_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0d5fcb29353929563923b7cb4d3bef616b276bb3 Binary files /dev/null and b/output/sample/letter r_20230412184452_e000760_00.png differ diff --git a/output/sample/letter r_20230412184455_e000761_00.png b/output/sample/letter r_20230412184455_e000761_00.png new file mode 100644 index 0000000000000000000000000000000000000000..3b5a32685d9b75cf0e70708d9a4c38afe343bf38 Binary files /dev/null and b/output/sample/letter r_20230412184455_e000761_00.png differ diff --git a/output/sample/letter r_20230412184458_e000762_00.png b/output/sample/letter r_20230412184458_e000762_00.png new file mode 100644 index 0000000000000000000000000000000000000000..912aad7290c3c35b09b4a139be231be1b5fa70bd Binary files /dev/null and b/output/sample/letter r_20230412184458_e000762_00.png differ diff --git a/output/sample/letter r_20230412184501_e000763_00.png b/output/sample/letter r_20230412184501_e000763_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d280a8b0549bc4be43060ca52a3e4de7e018cb76 Binary files /dev/null and b/output/sample/letter r_20230412184501_e000763_00.png differ diff --git a/output/sample/letter r_20230412184504_e000764_00.png b/output/sample/letter r_20230412184504_e000764_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a3bbec1f3f8a3b4df17b0fd65a683e17376974c2 Binary files /dev/null and b/output/sample/letter r_20230412184504_e000764_00.png differ diff --git a/output/sample/letter r_20230412184507_e000765_00.png b/output/sample/letter r_20230412184507_e000765_00.png new file mode 100644 index 0000000000000000000000000000000000000000..666ce8454d247ef2021ad5c1e2b3240623637f82 Binary files /dev/null and b/output/sample/letter r_20230412184507_e000765_00.png differ diff --git a/output/sample/letter r_20230412184510_e000766_00.png b/output/sample/letter r_20230412184510_e000766_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0edc45d3c5de295f5982b9b284925a00abc36f7f Binary files /dev/null and b/output/sample/letter r_20230412184510_e000766_00.png differ diff --git a/output/sample/letter r_20230412184513_e000767_00.png b/output/sample/letter r_20230412184513_e000767_00.png new file mode 100644 index 0000000000000000000000000000000000000000..939088319e575748b755c5e11447d0481dac70f0 Binary files /dev/null and b/output/sample/letter r_20230412184513_e000767_00.png differ diff --git a/output/sample/letter r_20230412184516_e000768_00.png b/output/sample/letter r_20230412184516_e000768_00.png new file mode 100644 index 0000000000000000000000000000000000000000..85902aa9ca8b3fd38f28447b8de388258c8c133e Binary files /dev/null and b/output/sample/letter r_20230412184516_e000768_00.png differ diff --git a/output/sample/letter r_20230412184519_e000769_00.png b/output/sample/letter r_20230412184519_e000769_00.png new file mode 100644 index 0000000000000000000000000000000000000000..dcc77c73b394fb707aed798c120588a5d1b5086c Binary files /dev/null and b/output/sample/letter r_20230412184519_e000769_00.png differ diff --git a/output/sample/letter r_20230412184522_e000770_00.png b/output/sample/letter r_20230412184522_e000770_00.png new file mode 100644 index 0000000000000000000000000000000000000000..8741f5485daebb1fdc84eca4e50b72d90357fe7a Binary files /dev/null and b/output/sample/letter r_20230412184522_e000770_00.png differ diff --git a/output/sample/letter r_20230412184525_e000771_00.png b/output/sample/letter r_20230412184525_e000771_00.png new file mode 100644 index 0000000000000000000000000000000000000000..d663390a6373f28866db663e24a3648f8fff3aeb Binary files /dev/null and b/output/sample/letter r_20230412184525_e000771_00.png differ diff --git a/output/sample/letter r_20230412184528_e000772_00.png b/output/sample/letter r_20230412184528_e000772_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5034b7e3528db5a5682176fad02dd8020d8c36d5 Binary files /dev/null and b/output/sample/letter r_20230412184528_e000772_00.png differ diff --git a/output/sample/letter r_20230412184531_e000773_00.png b/output/sample/letter r_20230412184531_e000773_00.png new file mode 100644 index 0000000000000000000000000000000000000000..98b5491e617c78cc06b8a62deaa1bb3b4112b632 Binary files /dev/null and b/output/sample/letter r_20230412184531_e000773_00.png differ diff --git a/output/sample/letter r_20230412184534_e000774_00.png b/output/sample/letter r_20230412184534_e000774_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4e13db5da4b9d936c15f99b5f70c7f24df75e08e Binary files /dev/null and b/output/sample/letter r_20230412184534_e000774_00.png differ diff --git a/output/sample/letter r_20230412184537_e000775_00.png b/output/sample/letter r_20230412184537_e000775_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ce437804c68c794a0645fa4f3ad593f921708df2 Binary files /dev/null and b/output/sample/letter r_20230412184537_e000775_00.png differ diff --git a/output/sample/letter r_20230412184540_e000776_00.png b/output/sample/letter r_20230412184540_e000776_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7a867e03e656a0db3e63ed10d7a6380048da1672 Binary files /dev/null and b/output/sample/letter r_20230412184540_e000776_00.png differ diff --git a/output/sample/letter r_20230412184543_e000777_00.png b/output/sample/letter r_20230412184543_e000777_00.png new file mode 100644 index 0000000000000000000000000000000000000000..81057f42078a58a58b40c8b5d074c0000e88178a Binary files /dev/null and b/output/sample/letter r_20230412184543_e000777_00.png differ diff --git a/output/sample/letter r_20230412184546_e000778_00.png b/output/sample/letter r_20230412184546_e000778_00.png new file mode 100644 index 0000000000000000000000000000000000000000..929b7bfb495e958c2f4c52a5bc80f2eba60d2159 Binary files /dev/null and b/output/sample/letter r_20230412184546_e000778_00.png differ diff --git a/output/sample/letter r_20230412184549_e000779_00.png b/output/sample/letter r_20230412184549_e000779_00.png new file mode 100644 index 0000000000000000000000000000000000000000..040333e9c3742171bfde133b5b832ec518f227cb Binary files /dev/null and b/output/sample/letter r_20230412184549_e000779_00.png differ diff --git a/output/sample/letter r_20230412184552_e000780_00.png b/output/sample/letter r_20230412184552_e000780_00.png new file mode 100644 index 0000000000000000000000000000000000000000..97c8f060e74ac6b6f0e8da27bb0db7ffd3f29598 Binary files /dev/null and b/output/sample/letter r_20230412184552_e000780_00.png differ diff --git a/output/sample/letter r_20230412184555_e000781_00.png b/output/sample/letter r_20230412184555_e000781_00.png new file mode 100644 index 0000000000000000000000000000000000000000..5a00141d3f50818c6c318bf31651c738c859bfc3 Binary files /dev/null and b/output/sample/letter r_20230412184555_e000781_00.png differ diff --git a/output/sample/letter r_20230412184558_e000782_00.png b/output/sample/letter r_20230412184558_e000782_00.png new file mode 100644 index 0000000000000000000000000000000000000000..840cda6ed53e7d1056b77483aede86e8f9e60e3c Binary files /dev/null and b/output/sample/letter r_20230412184558_e000782_00.png differ diff --git a/output/sample/letter r_20230412184601_e000783_00.png b/output/sample/letter r_20230412184601_e000783_00.png new file mode 100644 index 0000000000000000000000000000000000000000..035a205c2acccb60bbdad9c5e5576e7b2d6a3b66 Binary files /dev/null and b/output/sample/letter r_20230412184601_e000783_00.png differ diff --git a/output/sample/letter r_20230412184604_e000784_00.png b/output/sample/letter r_20230412184604_e000784_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2e91f65d6cd11401a6b37c6a5dea4bb3b4655284 Binary files /dev/null and b/output/sample/letter r_20230412184604_e000784_00.png differ diff --git a/output/sample/letter r_20230412184607_e000785_00.png b/output/sample/letter r_20230412184607_e000785_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4b74acb4fbb2ec28ebfc64d65c86887d577e63db Binary files /dev/null and b/output/sample/letter r_20230412184607_e000785_00.png differ diff --git a/output/sample/letter r_20230412184610_e000786_00.png b/output/sample/letter r_20230412184610_e000786_00.png new file mode 100644 index 0000000000000000000000000000000000000000..94c0225cbe653b7265f8d5ac20d90412b4428e2d Binary files /dev/null and b/output/sample/letter r_20230412184610_e000786_00.png differ diff --git a/output/sample/letter r_20230412184613_e000787_00.png b/output/sample/letter r_20230412184613_e000787_00.png new file mode 100644 index 0000000000000000000000000000000000000000..6f930b405dc25a0bebd4b9f06d658251674ebbe4 Binary files /dev/null and b/output/sample/letter r_20230412184613_e000787_00.png differ diff --git a/output/sample/letter r_20230412184616_e000788_00.png b/output/sample/letter r_20230412184616_e000788_00.png new file mode 100644 index 0000000000000000000000000000000000000000..2e6ba0955ce17b86ae2be1fe3c471d8891ac4a11 Binary files /dev/null and b/output/sample/letter r_20230412184616_e000788_00.png differ diff --git a/output/sample/letter r_20230412184619_e000789_00.png b/output/sample/letter r_20230412184619_e000789_00.png new file mode 100644 index 0000000000000000000000000000000000000000..4daf02e452576a542894854a5c2bd438ca9675de Binary files /dev/null and b/output/sample/letter r_20230412184619_e000789_00.png differ diff --git a/output/sample/letter r_20230412184622_e000790_00.png b/output/sample/letter r_20230412184622_e000790_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7ee50b6ec63fbaddf28faf07a9766e099d7d90f7 Binary files /dev/null and b/output/sample/letter r_20230412184622_e000790_00.png differ diff --git a/output/sample/letter r_20230412184625_e000791_00.png b/output/sample/letter r_20230412184625_e000791_00.png new file mode 100644 index 0000000000000000000000000000000000000000..380fc3dbe07e4328a931e13e96629b4020ab38c5 Binary files /dev/null and b/output/sample/letter r_20230412184625_e000791_00.png differ diff --git a/output/sample/letter r_20230412184628_e000792_00.png b/output/sample/letter r_20230412184628_e000792_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b6f5c614f3b235994e2b0f3f50af12dc6811c58e Binary files /dev/null and b/output/sample/letter r_20230412184628_e000792_00.png differ diff --git a/output/sample/letter r_20230412184631_e000793_00.png b/output/sample/letter r_20230412184631_e000793_00.png new file mode 100644 index 0000000000000000000000000000000000000000..72a9e100d00933b6e79a3a45635e19a02cec630c Binary files /dev/null and b/output/sample/letter r_20230412184631_e000793_00.png differ diff --git a/output/sample/letter r_20230412184634_e000794_00.png b/output/sample/letter r_20230412184634_e000794_00.png new file mode 100644 index 0000000000000000000000000000000000000000..958ad33f4a3795bd40cd3e576a95f5c34887fc36 Binary files /dev/null and b/output/sample/letter r_20230412184634_e000794_00.png differ diff --git a/output/sample/letter r_20230412184637_e000795_00.png b/output/sample/letter r_20230412184637_e000795_00.png new file mode 100644 index 0000000000000000000000000000000000000000..ea106bc56ab79769917c73c41d0bc83ae490fb1f Binary files /dev/null and b/output/sample/letter r_20230412184637_e000795_00.png differ diff --git a/output/sample/letter r_20230412184640_e000796_00.png b/output/sample/letter r_20230412184640_e000796_00.png new file mode 100644 index 0000000000000000000000000000000000000000..b0a4f962ee4c33daf10d465f082c33d341befcea Binary files /dev/null and b/output/sample/letter r_20230412184640_e000796_00.png differ diff --git a/output/sample/letter r_20230412184643_e000797_00.png b/output/sample/letter r_20230412184643_e000797_00.png new file mode 100644 index 0000000000000000000000000000000000000000..182ed364c1bbdfe1700aa9a1d6984043e57d21d0 Binary files /dev/null and b/output/sample/letter r_20230412184643_e000797_00.png differ diff --git a/output/sample/letter r_20230412184646_e000798_00.png b/output/sample/letter r_20230412184646_e000798_00.png new file mode 100644 index 0000000000000000000000000000000000000000..7a2815e9004d5052840b311965bf95e88bf3c1eb Binary files /dev/null and b/output/sample/letter r_20230412184646_e000798_00.png differ diff --git a/output/sample/letter r_20230412184649_e000799_00.png b/output/sample/letter r_20230412184649_e000799_00.png new file mode 100644 index 0000000000000000000000000000000000000000..a2317ff9d46aa04e7ca66f53bc89c8e390e9caa0 Binary files /dev/null and b/output/sample/letter r_20230412184649_e000799_00.png differ diff --git a/output/sample/letter r_20230412184652_e000800_00.png b/output/sample/letter r_20230412184652_e000800_00.png new file mode 100644 index 0000000000000000000000000000000000000000..0a1db67c337a45f96159e2156a85c8fce97b83d6 Binary files /dev/null and b/output/sample/letter r_20230412184652_e000800_00.png differ